时序约束中synplify的约束也是重要,在fdc表格中可以设置syn_maxfan,syn_maxdelay,syn_preserve,这样避免了修改代码!

max_delay的好处是限定了综合结果的级数

1)synplifyPro 菜单 Run/Constraint check

Inapplicable constraints 可以检查是否有没有加上的约束

如下显示表示没有问题

#### SUMMARY ############################################################

Found 0 issues in 0 out of 22 constraints

##### DETAILS ############################################################

Inapplicable constraints
************************

(none)

************************

define_attribute { i:pm64_82_13_core.rx_addr_from_cpu* } { syn_maxfan } { 8 }
    @E:"d:/new_xgpon/prj/synthesis/synthesis_shannon.fdc":34:0:34:0|Object 'pm64_82_13_core.rx_addr_from_cpu_1c_4[0]' is a keepbuf. Constraint on keepbuf object is not allowed. 
    @E:"d:/new_xgpon/prj/synthesis/synthesis_shannon.fdc":34:0:34:0|Object 'pm64_82_13_core.rx_addr_from_cpu_1c_4[1]' is a keepbuf. Constraint on keepbuf object is not allowed.

2)菜单Analysis/Timing Analyst  可以看到指定路径的logic level

会生成.ta 文件和.sm(图形显示路径。看到级数)

转载于:https://www.cnblogs.com/e-shannon/p/6285960.html

时序收敛以及 synplify 技巧timing constraint相关推荐

  1. FPGA开发之时序收敛10个小技巧

    1.好的代码风格 1)代码要跟器件结构相关 2)避免异步复位,异步复位同步化,全局复位局部化 3)层次的边界要加流水寄存 \quad例如:BRAM中有两级register,第一级在内部,第二级在外部s ...

  2. 静态时序分析之时序收敛技巧(Xilinx FPGA)

    文档参考: ug903:vivado-using-constraints.pdf ug945:vivado-using-constraints-tutorial.pdf ug949:vivado-de ...

  3. FPGA经验分享——时序收敛之路

    首先感谢 coyoo 博主一直以来在 EDN 上分享他的经验,也感谢他这次慷慨拿出新作与我们分享. 非常希望能够拜读 coyoo 博主的大作,尤其希望对虚拟 JTAG 技术有一个深入的了解. 这里分享 ...

  4. 基于Xlinx的时序分析与约束(1)----什么是时序分析?什么是时序约束?什么又是时序收敛?

    文章目录 写在前面 磨刀不误砍柴工 什么是时序分析? 什么是时序约束? 什么是时序收敛? 写在前面 时序约束与分析是FGPA开发过程中一项必备的技能,同时也是设计开发中相对较难的部分.很多人一谈起FP ...

  5. 转载:基于Xlinx的时序分析、约束和收敛(1)— 什么是时序分析?什么是时序约束?什么又是时序收敛?

    本文转载自CSDN博主「孤独的单刀」的原创文章,原文链接:https://blog.csdn.net/wuzhikaidetb/article/details/125731074 写在前面 时序约束与 ...

  6. sdram 时钟相位_零基础学FPGA (二十五)必会! 从静态时序分析到SDRAM时序收敛(下篇)...

    七.SDRAM工作时钟相位偏移计算本文引用地址:http://www.eepw.com.cn/article/279083.htm 从上篇文章中我们知道,我们的数据是要经过一定的延时才会到达目标器件的 ...

  7. 如何实现复杂FPGA设计的时序收敛

    "打鼹鼠"是一个古老(电子时代之前)的休闲游戏.在桌面上有许多洞,每个洞里都藏着鼹鼠.当有鼹鼠从洞里钻出来时,你就用锤子打它,让鼹鼠退回洞里,你因此而得分.当鼹鼠返回洞里时,又有一 ...

  8. Timing Constraint介绍-Design Compiler(三)

    ​ 文章目录 3.1 setup constraint参数 3.1.1 创建时钟 3.1.2 创建Input Path constraining 3.1.3 创建Output Path constra ...

  9. 画时序图软件——TimeGen和Timing Designer下载

    在写实验报告的时候需要画波形图,但是手头没有很好的软件,就上网搜了一些,分享出来.这里分享的是TimeGen和Timing Designer两个软件,资源均来自网上,有侵权请联系.TimeGen使用和 ...

最新文章

  1. linux下date -d,linux date -d的一些用法
  2. java怎么将字符串反序输出_对String字符串进行反序输出
  3. Exchange2007中创建和访问公用文件夹
  4. maven仓库找不到需要的jar包解决办法-转载
  5. 从Java程序员进阶为架构师,全套16张图概括最全技能!建议收藏!
  6. assetbundle能不能删除_Addressable卸载单个资源的疑问
  7. @transactional注解原理_《Spring源码解析(十二)》深入理解Spring事务原理,告别面试一问三不知的尴尬...
  8. Python 标准库 —— fractions
  9. Tkinter 的 Text 组件
  10. 主板开启网络唤醒_网络唤醒bios详细设置图文教程 | 专业网吧维护
  11. Windows应用程序进阶2(非模态对话框 通用对话框)
  12. 12-Qt5调用OpenCV4
  13. Excel2013使用插入特殊符号或复选框功能制作带对号的打钩方框
  14. 浅谈学习的深度和广度
  15. 用pc抓取vlan tag数据包
  16. centos7安装杀毒软件ClamAV
  17. 初次使用snaker集成项目中遇到的问题
  18. C语言之打印错误信息
  19. sql语句执行顺序及简单优化
  20. Collaborative Spatiotemporal Feature Learning for Video Action Recognition 论文笔记

热门文章

  1. win10企业版LSTC1809启用投影到此电脑功能
  2. php鼠标悬浮显示,CSS3实现鼠标悬停显示扩展内容
  3. 5.18 晴 魔兽,星际之门,越狱观后感
  4. 面试从int数据类型引发的一场血案,请问这真的只是基础吗?
  5. Webuploader 多实例传参教程
  6. 在路上——广州一日游
  7. 2.2.2进程调度的时机
  8. 【精益数据分析读书笔记】第五章-数据分析框架
  9. 正则表达式全部特殊符号
  10. 使用nslookup验证DNS的SRV记录