数字逻辑练习题

1.真值表是研究数字逻辑的重要分析工具。如果输入变量有三个,输出函数对应的结果就有___种情况,真值表中就要列出对应数目的行。

正确答案
第一空:
8

2.数字逻辑电路中有三种基本的逻辑,即与、___ 、___ 逻辑,任何复杂的逻辑都可以由这三种基本逻辑或它们的适当组合来表示。

正确答案
第一空:

第二空:

3.在实际的逻辑问题中,在真值表内对应于变量的某些取值组合不允许出现,或者变量之间具有一定的制约关系,在这些取值下函数的值可以是任意的,或者这些变量的取值根本不会出现,这些变量取值所对应的最小项称为_____

正确答案
第一空:
无关项

4.由于制造工艺的原因造成各逻辑器件延迟时间的差异或经过的通路(逻辑门的级数)不同,使得信号从输入经不同的通路传输到同一输出端的时间不同。因此,可能会使逻辑电路产生错误输出,通常把这种现象称为___。

正确答案
第一空:
冒险

5.74LS148是一种典型的8线-3线 ___ 器。

正确答案
第一空:
优先编码

6.十进制数78表示成8421BCD码是( )。

A、
0111 1000

B、
0100 1110

C、
1000 0111

D、
1011 0001

正确答案: A

7.下列说法错误的是( )。

A、
卡诺图化简时,卡诺圈中包含的“1”的个数应该是2的n次方。

B、
卡诺圈内至少要有一个新的最小项,否则会产生新的多余项。

C、
在卡诺图中画卡诺圈时,圈应尽量大,以便于消去更多的变量。

D、
任何时候,卡诺图中只能圈“1”。

正确答案: D

8.变量个数与最小项的个数的关系是:如果有n个变量,就有__个最小项。
正确答案:2^n

数字逻辑练习题(一)相关推荐

  1. 数字逻辑练习题(三)用代数法化简下面的函数为最简“与-或”式

    用代数法化简下面的函数为最简"与-或"式 一.题目描述: 用代数法化简下面的函数为最简"与-或"式: 二.题目解答 (1). (2).

  2. 数字逻辑练习题(十一)利用74LS161设计一个七进制计数器

    一.题目描述 已知74LS161为同步四位二进制加法计数器,其逻辑符号和功能表如下,请利用74LS161设计一个七进制计数器.应写出分析设计过程. 二.问题解答 (1)分析 采用同步置数法进行设计:

  3. 【数字逻辑与EDA技术】verilog HDL语法-期末考试重点总结

    一.相关术语 BST(Boundary Scan Test)边界扫描测试 CAD(Computer Aided Design) 计算机辅助设计 CAE(Computer Aided Engineeri ...

  4. 数字vlsi芯片设计_【数字逻辑 | 数字逻辑导论】课程导论

    整理自:丁磊.张海笑<数字逻辑与EDA设计>.南京理工大学<数字逻辑电路> 数字逻辑导论 一.数字技术的发展 布尔代数 乔治·布尔(George Boole,1815~1864 ...

  5. 常用的数字逻辑电路符号

    文章目录 1 常用的数字逻辑电路符号 1.1 常用的数组逻辑电路符号 1 常用的数字逻辑电路符号 1.1 常用的数组逻辑电路符号 参考资料: 手把手教你学51单片机:C语言版

  6. 【渝粤题库】陕西师范大学200701 数字逻辑

    <数字逻辑>作业 一.单项选择题 1. 八进制数的十六进制数是 . A. B. C. D. 2. 用0,1两个符号对100个信息进行编码,则至少需要 . A. 8位 B. 7位 C. 9位 ...

  7. 【数字逻辑入门】计算机如何存储1位二进制数

    0 前言 本文将会以R-S锁存器为例,引出锁存器的核心和本质,之后再带你构建更多类型的锁存器,你能够: 感受到由浅入深的学习方式 体会到掌握核心本质的快感 深刻理解核心套外壳的设计理念(产品迭代1.0 ...

  8. 【计算机组成原理 数字逻辑 Verilog】32位加法器的实现:支持整数的加减运算

    目录 0 前言 0.1 使用环境 0.2 知识点 0.3 注意事项 1 建模:1位加法器 1.1 构建基础模型 1.1.1 一位加法器 1.1.1.1 科技黑箱:外部端口与功能 1.1.1.2 揭秘黑 ...

  9. 【数字逻辑 Verilog】全面剖析数据选择器——从基础到拓展,从理论到设计的实现,从表面到本质

    0 前言 0.1 使用环境 EDA工具:Vivado 2017.4 硬件描述语言:Verilog HDL 0.2 涉及知识 数字逻辑 Verilog 1 基础模块:一位四选一数据选择器 1.1 设计部 ...

最新文章

  1. 机器学习中的算法(4.2):SVM----针对线性可分问题理解
  2. 11个Visual Studio代码性能分析工具
  3. 【django轻量级框架】View与Model交互(模块的交互关系)
  4. 转,帅气的表格隔行换色+鼠标经过变色、点击变色
  5. c#下实现GUI编程_程序员会懂的冷笑话:各大编程语言的内心独白
  6. c语言中point的用法_关于C语言Switch语句,先学这些技巧够不够?
  7. python 线性回归模型_如何在Python中建立和训练线性和逻辑回归ML模型
  8. MyEclipse8.6安装svn插件
  9. java解析字符串方法_java字符串的截取方法substring()代码解析
  10. Android——Timer停不下来的解决方法
  11. C++中模板的特化与偏特化
  12. 巴西-跨境电商蓝海市场
  13. CSGO 详细控制台指令(更新中)
  14. (网络)网络层:IP协议解析、地址管理(IP地址、子网掩码)、路由选择
  15. 微信公众号的二次开发(一 订阅号没有获取网页授权的解决方法)
  16. html六边形空心,六边形空心预制块模具基本知识
  17. Ubuntu中install.sh文件如何执行
  18. Google前员工创办社交推荐引擎Stamped,已获A轮投资
  19. 百度AI图像处理—人体分析(人像切割—AI抠图)调用教程(基于Python3-附Demo)
  20. 普华永道推出区块链分析工具,追踪ICO代币

热门文章

  1. Combination Sum II
  2. 语音信号处理-概念(一):时域信号(横轴:时间;纵轴:幅值)、频谱图(横轴:频率;纵轴:幅值)--傅里叶变换-->时频谱图(语谱图/声谱图)【横轴:时间;纵轴:频率;颜色深浅:幅值】
  3. Python爬虫 | 爬取高质量小姐姐照片
  4. 网络硬盘(简称网盘)
  5. 百度网盘撸用户羊毛是怎么一回事
  6. 数字孪生:如何撑起一个万亿市场的产业变革?
  7. Unity TimeLine丨A1.创建TimeLine、Animation Track,Extrapolation属性讲解
  8. android手机软件安装位置,改变Android手机软件安装位置方法
  9. python俄罗斯方块编程思路_少儿编程分享:手把手教你用Python编写俄罗斯方块(十)...
  10. 力扣 417. 太平洋大西洋水流问题