2013-04-18   13:28:19

在使用quartusII直接链接到modelsim进行仿真时,出现的问题是模块与外部连接的信号找不到,原因不清楚,不过好像把这个模块的命名改成其他就可以


来源:http://www.e-works.net.cn/Articles/EDA/Article87623.htm

 1 Altera官网下载两款软件并安装
   
    下载网址:https://www.altera.com/download/software/modelsim/zh_cn,选择Quartus II 网络版、ModelSim-Altera入门版。目前这两款软件都是免费的,不需要许可证,安装简单,使用期限是30天。
   
    【注意】务必记住安装的路径,特别是ModelSim-Altera的安装路径。
    
    2 指定ModelSim-Altera 6.5e的安装路径
   
    打开Quartus II 11.0软件,新建工程和文件并保存。
   
    1.然后在菜单栏选择 tools->options;
   
    2.在options选项卡中选中EDA tool options;
   
    3.在该选项卡中下面的ModelSim-Altera一项指定安装路径为E:/Altera/11.0/modelsim_ae/win32aloem(其中E:/Altera/11.0/modelsim_ae/为我电脑中ModelSim-Altera 6.5e的安装路径)

图1 指定ModelSim-Altera 6.5e的安装路径

【注意】 如果没有指定ModelSim-Altera 6.5e的安装路径,调用ModelSim-Altera的时候会出现如下的错误提示:

图2 没有指定安装路径的错误提示

3 指定Quartus II 11.0仿真软件
   
    在Quartus II 11.0界面菜单栏中选择Assignments->Settings。
   
    1.选中该界面下EDA Tool settings中的Simulation一项;
   
    2.Tool name中选择ModelSim-Altera;
   
    3.Format for output netlist中选择开发语言的类型Verilog或者VHDL等,
   
    4.Time scale 指定时间单位级别
   
    5.Output directory指定测试文件模板的输出路径(该路径是工程文件的相对路径)。

图3 指定Quartus II 11.0仿真软件

4 生成仿真测试文件
   
    选择Quartus II 11.0开发界面菜单栏下Processing->Start->Start Test Bench Template Writer,提示生成成功。

图4 生成仿真测试文件

分页
    5 配置选择仿真文件
   
    打开仿真测试文件(在上述3中指定的Output directory 目录下找到后缀名为".vt"的文件)并根据自己需要进行编辑。
   
    1. 在Quartus II 11.0界面菜单栏中选择Assignments->Settings->EDA Tool settings->Simulation;
   
    2.选择Compile test bench右边的Test benches;

图5  配置选择仿真文件(1)

3.然后在出现的界面中选择New,在新出现的界面中Test bench name 输入测试文件名字,在Top level module in test bench 栏中输入测试文件中的顶层模块名;
   
    4.选中Use test bench to perform VHDL timing simulation并在Design instance name in test bench中输入设计测试文件中设计例化名默认为i1;
   
    5.然后在Test bench files栏下的file name 选择测试文件(在第3步中指定的测试文件输出路径下的后缀名为" .vt " 文件的测试文件),然后点击add,一步一步OK。

图6 配置选择仿真文件(2)

【注意】Test bench name和Top level module in test bench 以及Design instance name in test bench分别为".vt"文件的文件名、vt文件中顶层实体模块名、Verilog或者VHDL文件中的模块的例化名。
   
    6 仿真文件配置完成后回到Quartus II 11.0 开发界面
   
    在Quartus II 11.0界面菜单栏中选择菜单栏Tools中的Run EDA Simulation Tool->EDA RTL Simulation 进行行为级仿真,接下来就可以看到ModelSim-Altera 6.5e的运行界面,观察仿真波形。

转载于:https://www.cnblogs.com/zlh840/archive/2012/08/24/2654168.html

[转帖]如何在quartusII中调用modelsim-altera_百度文库相关推荐

  1. excel调用python编程-如何在excel中调用python脚本

    如何在excel中调用python脚本 发布时间:2020-07-03 14:15:28 来源:亿速云 阅读:155 如何在excel中调用python脚本?针对这个问题,这篇文章详细介绍了相对应的分 ...

  2. 如何在MFC中调用CUDA

    如何在MFC中调用CUDA 有时候,我们需要在比较大的项目中调用CUDA,这就涉及到MFC+CUDA的环境配置问题,以矩阵相乘为例,在MFC中调用CUDA程序.我们参考罗振东iylzd@163.com ...

  3. 一步一步学Silverlight 2系列(21):如何在Silverlight中调用JavaScript

    概述 Silverlight 2 Beta 1版本发布了,无论从Runtime还是Tools都给我们带来了很多的惊喜,如支持框架语言Visual Basic, Visual C#, IronRuby, ...

  4. Halcon初学者知识 【13】如何在MFC中调用Halcon代码

    要知道如何在MFC中调用Halcon代码,需要以下知识点: 在VC++2019的工程中,配置Halcon环境[请看此文] Halcon代码如何导出成C++代码 如何拆解halcon转换成C++的代码, ...

  5. 一步一步学Silverlight 2系列(21):如何在Silverlight中调用JavaScriptjavascript

    一步一步学silverlight 2系列(21):如何在silverlight中调用javascript 概述 silverlight 2 beta 1版本发布了,无论从runtime还是tools都 ...

  6. ibatis mysql存储过程_分步详解 如何在iBatis中调用存储过程

    通过iBatis我们可以在数据库表中执行内嵌的insert , delete, update SQL命令.本文中你将看到如何在iBatis中调用存储过程. 我们使用MySQL数据库,并且使用和上一个例 ...

  7. 如何在listary中调用谷歌翻译

    文章目录 如何在listary中调用谷歌翻译 1具体设置 2测试一下 3跳转到浏览器 如何在listary中调用谷歌翻译 listary是一个非常好用的电脑搜索软件,我们也可以用它来web定位搜索. ...

  8. 如何在MATLAB中调用(运行)“用Python写成的函数或脚本”

    如何在MATLAB中调用"用Python写成的函数或脚本",首先要确保MATLAB知道咱们的Python解释器的位置在哪里. 如果安装了Python的时候把Python加入了系统环 ...

  9. php中如何调用javascript,如何在php中调用javascript代码?

    JavaScript是客户端脚本语言,PHP是用于与数据库交互的服务器端脚本语言.那么如何在php中调用javascript代码?下面本篇文章给大家介绍一下.有一定的参考价值,有需要的朋友可以参考一下 ...

最新文章

  1. 记事本里出现该文件含有Unicode格式的字符,当保存为ANSI编码的文本时,该字符将丢失。怎么解决?
  2. 一些非常实用的JSON 教程
  3. ASP.NET中处理HTTP请求的原理 (转)
  4. TCP/IP协议三次握手流程
  5. Leetcode1706. 球会落何处[C++题解]:模拟
  6. vue.js插件使用(01) vue-resource
  7. IIs管理服务一直启动失败的原因之一
  8. effective c++条款11扩展——关于拷贝构造函数和赋值运算符
  9. 在国外千万不要以为别人都听不懂中文
  10. css修改输入框的placeholder颜色
  11. win2003 ent 64 + mssql ent 64
  12. linux 0.01内核分析与操作系统设计 百度网盘,《Linux 0.01内核分析与操作系统设计——创造你自己的操作系统》...
  13. HttpSession的简介
  14. 电脑自带的应用商店连接不到服务器,win10应用商店无法连接服务器最佳解决方法...
  15. 最全移动端UI设计规范,作为前端的你,了解多少?
  16. 关于蓝牙打印机的一些问题
  17. android大漩涡旋转效果(极光星空)
  18. 斯皮格尔:我们敢于采纳非常疯狂的创意
  19. AAAI 2022 | 改进YOLOv3!IA-YOLO:恶劣天气下的目标检测
  20. 绝地求生进游戏显示服务器未正常运行,绝地求生大逃杀BE服务器未正常运行简单解决办法一览...

热门文章

  1. BP神经网络 语音信号分类
  2. tomcat9扩展php 插件,Eclipse插件开发tomcat扩展
  3. 【R语言】迫松分布估计--判断是否符合迫松分布
  4. java propertysource_[spring] @PropertySource
  5. 信号与系统参考书推荐
  6. django查询表记录的十三种方法
  7. java面向对象(类与对象,局部变量成员变量,基本类型和引用类型作为参数传递)...
  8. Jmeter创建一个点对点的 JMS 测试计划
  9. 17、任务十六——事件委托机制、简单表单验证
  10. 代码生成器,自己实现的一个基于模板的在线代码生成网站