Vivado自带的仿真工具Vivado Simulator使用体验不佳,使用当前最流行的Verilog仿真工具Modelsim更加高效便捷。Vivado支持多种第三方仿真工具,包括Modelsim,Questasim等,本文将介绍如何在Vivado中使用Modelsim进行仿真。

不同版本的Vivado需要搭配对应版本的modelsim,否则在运行仿真时会报错:

WARNING: [Vivado 12-5495] Detected incompatible modelsim simulator installation version ‘2019.4’! The supported simulator version for the current Vivado release is ‘10.6c’.

之前我在Vivado 2018.3中使用Modelsim 2019.4,就会报版本不兼容的错误,提示支持的Modelsim版本是10.6c。实测Vivado 2018.3 + Modelsim 10.6e也完全OK。

具体Vivado版本对应的Modelsim版本可参考xilinx官网:

Vivado Simulation-主要的Vivado Design Suite版本支持的第三方模拟器

Vivado与Modelsim软件下载可参考我的专栏:软件安装

Vivado 2020.2+ Modelsim SE 2020.4 联合仿真,具体步骤如下:

一. 编译Vivado仿真库

打开Vivado,Tools -> Compile Simulation Libraries

勾选Compile Xilinx IP,此选项表示此次将会编译Vivado中所有的Xilinx IP,以后使用IP时不需要再次编译

注意:

1.这里的指定GCC可执行文件路径是Vivado 2020.2版本新加入的,Vivado 2018.3中没有这部分。此GCC路径不能不填,否则会有如下提示:

2.指定GCC路径是用作编译SystmC IP用的,如果没用到SystemC IP,可随意指定一个路径,例如桌面C:\Users\xu\Desktop,也可以进行编译。

3.推荐的做法是指定GCC路径为D:\Xilinx\Vivado\2020.2\tps\win64\msys64\mingw64\bin,这是Vivado自带的GCC.exe文件,指定此路径没什么问题。

4.Command中会有TCL命令,复制此命令到Tcl Console窗口中运行和设置完点击Compile效果相同。
点击Complie,等待编译完成。在左下角的Tcl Console窗口可以看到编译过程,编译时间和编译结果。编译耗时取决于电脑处理器性能,我的AMD Ryzen 7 3800X用了27分钟才编译完。编译完成后TCL窗口会显示下图所示表格,注意检查是否有Error,有个别error也不要紧,出错的IP可能根本用不上。

二. 设置仿真工具和库路径

因为新建工程的默认仿真工具是Vivado Simulator,所以要使用Modelsim仿真,每个新工程都要设置一次,方法如下:

三. 启动modelsim仿真

设置完成后,在vivado中点仿真会自动调用modelsim。Run Simulation -> Run Behavioral Simulation

如果仿真一直卡在上图所示界面而不打开modelsim窗口,可能是仿真出错了,注意检查Tcl Console窗口看是否有error。

如果没有Error,将会打开ModelSim窗口,如下图所示。

三. 另外需要注意的点

3.1 不需要新增环境变量

网上说要配置这个环境变量, 实测是不需要的(win10系统), 而且设置了这个变量后, Modelsim原本安装路径中的modelsim.ini文件被代替了, 这不是我们要的. 故这一步不需要做。

变量名:MODELSIM

值(编译库所在位置下的modelsim.ini文件):F:\Modelsim_Vivado_Lib\modelsim.ini

3.2 编译库路径更改后需要修改modelsim.ini

如果你不想编译库而把别人编译好的库拷贝过来,需要注意不仅要在Vivado中指定库路径,还需要更改库文件夹中的modelsim.ini文件,这个文件是编译库时生成的,它指定了特定的库文件路径,注意改成现在库文件的路径。

之前的库放在E盘,现在换到了F盘,需要修改此modelsim.ini文件中的路径才能让Vivado正确调用Modelsim。

Vivado关联Modelsim进行仿真相关推荐

  1. FPGA学习之路—Vivado与Modelsim联合仿真

    Vivado与Modelsim联合仿真 笔者在学习FPGA过程中遇到了如何使用Vivado和Modelsim进行联合仿真的问题,特此记录. 首先确定版本 笔者Vivado用的是2018.3版本,先是随 ...

  2. vivado和modelsim联合仿真实现占空比1:15的分频

    上一讲我们看了偶数分频 vivado和modelsim联合仿真实现偶分频,只需要在clk计数到一半时进行翻转即可,这是占空比为50%的情况,如果占空比任意数值就需要重新设计 16需要从0计数到15=4 ...

  3. vivado和modelsim联合仿真实现偶分频

    首先创建一个工程,因为不在硬件上实现所以芯片型号随便选一个就行 创建design文件div6.v,代码来源于以下视频,稍作修改. 在复位时给输出信号clk6赋值为0,不然输出不确定 判断条件cnt为1 ...

  4. Vivado调用Modelsim默认仿真条件设置

    Vivado调用Modelsim默认仿真条件设置 你得先会这个 常规设定Vivado调用Modelsim进行仿真的流程,就不多说,网上的帖子一大堆. 放心,推荐的链接安排上,使用的是Vivado201 ...

  5. 关于Vivado和Modelsim联合仿真的爱恨情仇

    关于Vivado和Modelsim联合仿真的爱恨情仇 心路历程 版本对应 仿真设置 错误解决 关于使用modelsim查看状态转移图 心路历程 vivado自带仿真工具虽然可以使用,但是每一次修改代码 ...

  6. Vivado与Modelsim联合仿真配置【图文并茂】

    文章目录 前言 一.编译仿真库 1.编译仿真库 2.仿真库设置 二.Vivado设置 1.在Vivado中单击Tools-Settings 2.将lib导入Modelsim 3.打开Modelsim, ...

  7. 【FPGA】vivado和modelsim联合仿真

    文章目录 二.遇到的问题 三. 参考资料: 二.遇到的问题 1. 错误一: # ** Fatal: (vsim-3693) The minimum time resolution limit (10f ...

  8. vivado 和 modelsim联合仿真

    1)随便打开一个vivado工程, 2)配置3rd party, 设置install path, D:\modeltech64_10.6e\win64, 设置default compiled libr ...

  9. Vivado和Modelsim联合仿真问题记录

    目录 写在前面 Modelsim安装 1.以管理员身份运行安装程序 2.去掉mgls.dll和mgl64s.dll文件"只读"属性,直接双击运行patch64_dll.bat 编译 ...

最新文章

  1. shell中和||的使用方法
  2. 奇点云发布三大无人零售终端产品,“云”“端”结合赋能零售商
  3. Generative Adversarial Text to Image Synthesis --- 根据文字描述生成对应的图片
  4. 关于Integer类中parseInt()和valueOf()方法的区别以及int和String类性的转换.以及String类valueOf()方法...
  5. 弱电机房保温棉知识汇总,它的种类及使用你懂多少?
  6. python中赋值不正确的_python中关于赋值、浅拷贝与深拷贝的问题
  7. python操作json_如何使用Python处理JSON数据
  8. 理论+实验·MHA高可用配置及故障切换
  9. Intellij Idea搭建Spark开发环境
  10. mysql可以建立个人数据库吗_mysql怎么建立数据库?
  11. 拓扑排序以及求解关键路径
  12. 【知识总结】数学必修二立体几何总结
  13. android视频自动旋转,Android 使用PLDroidPlayer播放网络视频 根据视频角度自动旋转...
  14. [题解][CF-1292C]Xenon‘s Attack on the Gangs
  15. mysql随机生成名字,起名不求人
  16. CM系统应用源码分析与rom定制
  17. SQL注入之利用DNS获取数据
  18. 中小学计算机课程标准及解读,小学信息技术课课程标准及解读
  19. UI自动化测试AutoIT工具使用
  20. 火爆全网的老照片修复技术,手把手教你怎么玩儿!

热门文章

  1. hdu2222(看一些单词哪些在模式串中出现过)
  2. AtCoder AGC036C GP 2 (组合计数)
  3. 前端中全部盒子靠左对齐_前端面试一百问之弹性盒子中 flex: 0 1 auto 表示什么意思...
  4. HDU - 2612 Find a way(BFS搜索)
  5. AtcoderGrandContest 005 F. Many Easy Problems
  6. 花信年华--You're gonna love who you turn out to be
  7. 关于js复制文本信息(按钮级别)
  8. 设备管理学习之概念篇
  9. BZOJ 1020——[SHOI2008]安全的航线flight
  10. javascript DOM(08-21)