资料简介

南通大学信息科学技术学院

《计算机组成实验》

实验报告

实验名称 数据通路的设计与实现

班级 物联网工程 192

学生姓名 谢焘 学号 1930110689

指导教师 成耀

日 期 2021 年 6 月 16 日

实验 数据通路的设计与实现

一、实验目的

1.掌握算术逻辑运算单元(ALU)、寄存器堆和存储器的工作原理。

2.掌握包含 ALU、寄存器堆和存储器的数据通路的设计方法。

3.掌握包含 ALU、寄存器堆和存储器的数据通路的仿真测试方法。

4.训练学生分析问题与解决问题的能力,学会在出现故障的情况下,独立分析故障现象并排除故障。

二、实验任务

利用Verilog HDL设计顶层电路模型,把前面实验设计的运算器通路模块与存储器实验模块进行连

接,完成完整数据通路的搭建。整个电路总线结构的形式自行设计。要求在Vivado环境下,完成仿真

测试。

所设计电路应满足以下要求:

①运算器可以实现对来自寄存器堆的数据进行各种算术逻辑运算,并将结果存入寄存器堆的某个

通用寄存器(寄存器0除外。依据MIPS的规定,寄存器0的值恒为0);

②寄存器堆的某个通用寄存器中的数据可以存入存储器;

③可以从存储器中读出数据,并存入寄存器堆的某个通用寄存器(寄存器0除外)。

三、实验预习

(1)实验电路原理及信号说明

主要元器件说明:

REGFILE 寄存器堆

DATAMEM 数据寄存器 RAM

MUX2X32 32 位 2 选 1 选择器

ALU 运算器

主要信号说明:

信号名 功能 位宽 类型

Clk 时钟信号 1 输入

Clrn 寄存器堆初始化清零信号 1 输入

AluOP 运算器操作功能选择 4 输入

Ra 寄存器堆 A 路输出地址 5 输入

Rb 寄存器堆 B 路输出地址 5 输入

WeReg 寄存器堆写使能信号 1 输入

WRegAddr 写寄存器堆地址 5 输入

RamAddr RAM 地址(读写合一,复用) 32 输入

WeRam RAM 写使能信号 1 输入

Se 2 选 1 选择信号(Alu 第二操作数选择) 1 输入

SeWdata RAM 和寄存器堆的输入数据来源(存储器/Alu)选择 1 输入

Result 运算器输出结果 32 输出

Zero 运算结果零标志位 1 输出

X 运算器操作数 X(寄存器堆 A 路输出数据) 32 输出

Y 运算器操作数 Y(二选一结果输出) 32 输出

Y0 二选一 Y0 输入(寄存器堆 B 路输出数据) 32 输出

Y1 二选一 Y1 输入(RAM 输出数据) 32 输出

设计思路:

寄存器堆 Qa 输出端与运算器 X 端口直接相连;RAM 和寄存器堆 Qb 经过二选一后与运算器 Y 端口相

连。两个存储器数据输入端口都由接入到二选一选择器的输出端,输入端由 Alu 的运算结果与存储器数据组

成进行选择;其余地址信号、时钟信号、选择信号、使能信号等,均由手动赋值控制。

(2)实验电路设计

利用 Verilog HDL 描述该电路,设计代码如下:

module DataPath

(AluOP,

Clk,

Clrn,

Ra,

RamAddr,

Rb,

Result,

Se,

SeWdata,

WRegAddr,

WeRam,

WeReg,

X,

Y,

Y0,

Y1,

Zero);

input [3:0]AluOP;

input Clk;

input Clrn;

input [4:0]Ra;

input [31:0]RamAddr;

input [4:0]Rb;

output [31:0]Result;

input Se;

input SeWdata;

input [4:0]WRegAddr;

input WeRam;

input WeReg;

output [31:0]X;

output [31:0]Y;

output [31:0]Y0;

output [31:0]Y1;

output Zero;

wire [31:0]ALU_0_R;

wire ALU_0_Z;

wire [3:0]AluOP_1;

wire Clrn_1;

wire [31:0]DATAMEM_0_Dout;

wire [31:0]MUX2X32_0_Y;

wire [31:0]MUX2X32_1_Y;

wire [31:0]MUX2X32_2_Y;

wire Net;... 查看更多

计算机组成原理实验数据通路,《计算机组成原理》实验报告——数据通路相关推荐

  1. 计算机组成原理实验四 微程序控制器实验报告

    我班算是几乎最后一个做实验的班级了,报告参考了一些朋友提供的数据加上一些自己的主观拙见,本人水平有限加之制作仓促难免有错误,望大家批评指正. 4.1 微程序控制器实验 一.实验目的 (1) 掌握微程序 ...

  2. 计算机组成原理八位全加器,计算机组成原理实验报告-八位补码加减法器的设计与实现.docx...

    文档介绍: 计算机科学与技术学院计算机组成原理实验报告书实 验 名 称班 级学 号姓 名指 导 教 师日 期成 绩八位补码加/减法器的设计与实现实验 1 八位补码加/减法器的设计与实现一.实验目的1. ...

  3. 计算机组成原理复杂机实验总结,计算机组成原理复杂模型机设计_课程设计报告.doc...

    成绩: 计算机组成原理课程设计实验报告 复杂模型机设计 姓 名 _ 曾凯杨 班 级 _ 计算机102 学 号 _ 40 实验地点 _ 计算机实验室四楼 实验时间 _ 3月6日 指导教师 刘晶 概述 这 ...

  4. 计算机组成原理移位图,计算机组成原理实验报告3-数据输出实验 移位门实验

    2.3 数据输出实验/移位门实验 一.实验要求:利用CPTH 实验仪的开关做为控制信号,将指定寄存器的内容读到数据总线DBUS上. 二.实验目的: 1.了解模型机中多寄存器接数据总线的实现原理. 2. ...

  5. 计算机组成ldi指令码,计算机组成原理 实验报告四(含答案).docx

    文档介绍: 计算机组成原理_实验报告四(含答案)湖南科技学院电子与信息工程学院实验报告课程名称:姓名:学号:专业:班级:指导老师:实验四微程序控制组成实验一.实验目的及要求1.将微程序控制器同执行部件 ...

  6. 计算机组成原理结果分析与实验体会,计算机组成原理实验报告总结(共9篇).doc...

    计算机组成原理实验报告总结(共9篇) 计算机组成原理实验报告总结(共9篇) 计算机组成原理实验报告 计算机组成原理实验报告 福建农林大学计算机与信息学院信息工程类实验报告 系: 计算机科学与技术 专业 ...

  7. 中原工学院计算机组成原理试卷,中原工学院计算机组成原理实验报告

    中原工学院计算机组成原理实验报告 实验-8位算术逻辑运算实验 实验五存储器实验 实验六微控制器实验 实验七基本模型机的设计与实现 实验-8位算术逻辑运算实验 一.实验目的 1. 掌握简单运算器的数据传 ...

  8. 计算机组成原理实验pc,计算机组成原理实验报告5- PC实验

    计算机组成原理实验报告5- PC实验 2.5 PC实验 姓名:孙坚 学号:134173733 班级:13计算机 日期:2015.5.15 一.实验要求:利用CPTH 实验仪上的K16..K23 开关做 ...

  9. 计算机组成原理设计性实验,《计算机组成原理》设计性实验报告.doc

    <计算机组成原理>设计性实验报告 华北科技学院计算机学院设计性实验 实 验 报 告 课程名称 计算机组成原理B 实验学期 2013 至 2014 学年 第 一 学期 学生所在院部 计算机学 ...

  10. 湘潭大学计算机组成原理试卷,湘潭大学 计算机组成与原理 控制器实验 实验报告...

    <湘潭大学 计算机组成与原理 控制器实验 实验报告>由会员分享,可在线阅读,更多相关<湘潭大学 计算机组成与原理 控制器实验 实验报告(10页珍藏版)>请在人人文库网上搜索. ...

最新文章

  1. linux 6.4 图形界面,为CentOS 6.4安装图形界面并用VNC远程连接
  2. C#.NET通过Socket实现平行主机之间网络通讯(含图片传输的Demo演示)
  3. Git 技术篇 - GitHub克隆私有仓库方法,新主机绑定并同步github私有库实例演示
  4. echarts用法配置
  5. SAP Hybris MVC里的M指什么
  6. 如何处理SAP云平台错误消息 there is no compute unit quota for subaccount
  7. JavaFX:太空侵略者在175 LOC以下
  8. html 定时刷新 数据,js中,设置定时器 每隔几秒刷新一次页面数据
  9. Java的文件流定义,java文件流的问题!急
  10. C++ primer 第13章 拷贝控制
  11. python print 输出到txt_(Python基础教程之七)Python字符串操作
  12. 流行前沿的暗黑APP主题UI设计素材模板
  13. 程序员专属段子集锦 5/10
  14. python中文相似度_python比较两个文本的相似性
  15. word ctrl v 不能用
  16. 操作系统课程设计--文件系统
  17. plc梯形图如何转c语言,梯形图和指令怎么转换?plc梯形图转换指令表
  18. android加载efi分区,高通Android UEFI XBL 代码流程分析
  19. 中止执行后超过2年_执行中止。债权人是否两年内都要申请执行一次。如果中止执行两年内不申请执行,是否都再也执行不了...
  20. STM32F103标准库开发:KEY按键实验

热门文章

  1. 你的Android HTTPS真的安全吗?
  2. 苹果越狱后怎么还原_iOS 13.3 越狱提升稳定性,自签又可以使用了
  3. 伺服速度控制模式接线图_伺服驱动器三种控制模式的接线
  4. java后端 解决app接口版本管理问题
  5. 半导体、芯片、集成电路、无尘车间具体释义及区别
  6. Linux系统安装与使用基础实验报告
  7. 《微观经济学》第四章供给与需求的市场力量
  8. PHPWAMP自定义添加PHP版本教程,支持无限添加PHP和Mysql版本
  9. wamp php不可用_PHPWAMP开启php
  10. dms虚拟服务器,dms服务器