2.3 数据输出实验/移位门实验

一.实验要求:利用CPTH 实验仪的开关做为控制信号,将指定寄存器的内容读到数据总线DBUS上。

二.实验目的:

1、了解模型机中多寄存器接数据总线的实现原理。

2、 了解运算器中移位功能的实现方法。

三.实验电路:CPTH 中有7 个寄存器可以向数据总线输出数据,但在某一特定时刻只能有一个寄存器输出数据,由X2,X1,X0决定那一个寄存器输出数据。

数据输出选择器原理图

连接线表

四.实验数据及步骤:

实验1:数据输出实验

置下表的控制信号,检验输出结果

实验2:移位实验

ALU直接输出和零标志位产生原理图

ALU左移输出原理图

ALU右移输出原理图

直通门将运算器的结果不移位送总线。当X2X1X0=100 时运算器结果通过直通门送到数据总线。同时,直通门上还有判0 电路,当运算器的结果为全0 时,Z=1,右移门将运算器的结果右移一位送总线。当X2X1X0=101 时运算器结果通过右通门送到数据总线。具体内部连接是:

Cy 与 CN →DBUS7

ALU7→DBUS6

ALU6→DBUS5

ALU5→DBUS4

ALU4 → DBUS3

ALU3 → DBUS2

ALU2 → DBUS1

ALU1 → DBUS0 Cy 与 CN → DBUS7 当不带进位移位时(CN=0):

0 →DBUS7 当带进位移位时(CN=1):

Cy →DBUS7

左移门将运算器的结果左移一位送总线。当X2X1X0=110 时运算器结果通过左通门送到数据总线。具体连线是:

ALU6 →DBUS7 ALU5→ DBUS6 ALU4→ DBUS5 ALU3→ DBUS4 ALU2→ DBUS3 ALU1→ DBUS2 ALU0→ DBUS1 当不带进位移位时(CN=0):

0 → DBUS0 当带进位移位时(CN=1):

Cy→

DBUS0

将55H写入A寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H

置控制信号为:

按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。

S2S1S0=111 时运算器结果为寄存器A内容

注意观察:

移位与输出门是否打开无关,无论运算器结果如何,移位门都会给出移位结果。但究竟把那一个结果送数据总线由X2X1X0输出选择决定。

五.心得体会:

这次实验我们感受和熟悉了计算机的移位算法,本实验可以说是前两次实验和这次实验的汇总,里面也包括了上两次实验的内容,像寄存器A,W;S1,S2,S3选择的运算。通过本次实验让我学到很多运算器的知识。还有就是关于移位运算的了,寄存器D显示的是不带移位的运算结果,寄存器R显示的是右移一位的运算结果,寄存器L显示的是左移一位的运算结果,当CN等于1,Cy 1N等于1的时候表示移位为一的移位运算。移位的运算方法是,右移时,在移位后的空位也即最高位补上Cy 1N的值,0或者1;左移时,在移位后的空位也即最低位补上Cy 1N的值,0或者1。

数据通路组成实验

一、实验目的

(1)将双端口通用寄存器组和双端口存储器模块联机;

(2)进一步熟悉计算机的数据通路;

(3)掌握数字逻辑电路中故障的一般规律,以及排除故障的一般原则和方法;

(4)锻炼分析问题与解决问题的能力,在出现故障的情况下,独立分析故障现象,并排除故障。

二、实验电路

图9.14示出了数据通路实验电路图,它是将前面进行的双端口存储器实验模块和一个双端口通用寄存器组模块连接在一起形成的,存储器的指令端口不参与本次实验,通用寄存器组连接运算器模块,本实验涉及其中的操作数寄存器DR2。

由于RAM是三态门输出,因而可以将RAM连接到数据总线BUS上。此外,BUS上还连接着双端口通用寄存器组。这样,写入RAM的数据可由通用寄存器提供,而从RAM读出的数据也可送到通用寄存器保存。

RAM和DR2在前面的实验中使用过。对于通用寄存器组RF,它由一个在系统可编程(In System Programable)芯片ispLSI 1016固化了通用寄存器组的功能而成,其功能与双端口寄存器组MC14580相类似,内含四个8位的通用寄存器,带有一个输入端口和两个输出端口,从而可以同时写入一路数据,读出两路数据。输入端口取名为WR端口,连接一个8位的缓冲寄存器ER(已集成在ispLSI 1016芯片中),输出端口取名为RS端口、RD端口,分别连接运算器模块的两个操作数寄存器DR1、DR2,其中,连接DR1的RS端口还可通过一个8位的三态门RSO直接向BUS输出。 双端口通用寄存器组模块的控制信号中,RS1、RS0用于选择从RS端口读出的通用寄存器,RD1、RD0用于选择从RD端口读出的通用寄存器,上述选择信号在T1脉冲的上升沿到来时生效。而WR1、WR0则用于选择从WR端口写入的通用寄存器。WRD是写入控制信号,WRD=1时,在T2上升沿的时刻,从ER写入数据;WRD=0时,ER中的数据不写入通用寄存器中。LDER信号控制ER从BUS写入数据,RS-BUS信号则控制RS端口到BUS的输出三态门。以上控制信号各自连接一个二进制开关。

三、实验设备

(1)JYS-4计算机组成原理实验仪一台 (2)双踪示波器一台 (3)直流万用表一只 (4)逻辑测试笔一支

四、实验任务

(1)将实验电路与操作面板的有关信号进行线路连接,方法同前面的实验。 (2)用8位数据开关向RF中的四个通用寄存器分别置入以下数据(十六进制):R0=0F,R1=F0,R2=55,R3=AA。

给R0置入0F的步骤是:先用8位数码开关将0F置入ER,并且选择WR1=WR0=0,再将ER的数据置入RF。给其他通用寄存器置入数据的步骤与此类似。

(3)分别将R0至R3中的数据同时读入到DR2寄存器和BUS上,观察其数据是否存入R0至R3中的数据,并记录数据。其中BUS上的数据可直接用指示灯显示,DR2中的数据可用逻辑笔测试有关引脚。

(4)用8位数码开关向AR1送入一个地址0F,然后将R0中的0F写入RAM。用同样的方法,依次将R1至R3中的数据写入RAM中的F0、55、AA单元。

(5)分别将RAM中AA单元的数据写入R0,55单元的数据写入R1,F0单元写入R2,0F单元写入R3。然后将R3、R2、R1、R0中的数据读出到BUS上,通过指示灯验证读出的数据是否正确,并记录数据。

(6)进行RF并行输入输出试验。

1.选择RS端口对应R0,RD端口对应R1,WR端口对应R2,并使WRD=1,观察并行输入输出的结果。选择RS端口对应R2,验证刚才的写入是否生效。记录数据。 2.保持RS端口和WR端口同时对应R2,WRD=1,而ER中置入新的数据,观察并行输入输出的结果,RS端口输出的是旧的还是新的数据? (7)在数据传送过程中,发现了什么故障?如何克服的?

五、实验要求 (1)做好实验预习和准备工作,掌握实验电路的数据通路特点和通用寄存器组的功能特性。 (2)写出实验报告,内容为

1.实验目的;

2.如碰到故障,记录故障现象,排除故障的分析思路,故障定位及故障的性质; 3.实验数据记录;

4.值得讨论的其他问题。

计算机组成原理实验日志3 实验题目:

静态随机存储器实验 实验目的:

掌握静态随机存储器RAM工作特性及数据的读/写方法。 实验主要步骤:

(1)形成时钟脉冲信号T3。具体接线方法和操作步骤如下:

①接通电源,把示波器接到方波信号源的输出插孔H23调节电位器W1及W2,使H23端输出实验所期望的频率和占空比的方波。

②将时序电路模块(STATE UNIT)单元中的φ和信号源单元(SIGNAL UNIT)中的H23排针相连。

③在时序电路模块中有两个二进制开关“STOP”和“STEP”。将“STOP”开关置为“RUN”状态、“STEP”开关置为“EXEC”状态时,按动微动开关START,则T3端输出连续的方波信号,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。当“STOP”开关置为“RUN”状态、“STEP”开关置为“STEP”状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。用PC联机软件中的示波器功能也能看到波形。这样可以代替真实示波器。

(2)按图2-2连接实验线路,仔细查线无误后接通电源。

图2-2 静态随机存储器实验接线图 (3)写存储器。给存储器的00、0

1、0

2、0

3、04地址单元中分别写入数据11H、12H、13H、14H、15H。

由上面的存储器实验原理图(图2-2)看出,由于数据和地址全由一个数据开关给出,因此要分时地给出。下面的写存储器要分两个步骤:第一步写地址,先关掉存储器的片选(CE=1),打开地址锁存器门控信号(LDAR=1),打开数据开关三态门(SW-B=0),由开关给出要写入的存储单元的地址,按动START产生T3脉冲将地址打入到地址锁存器;第二步写数据,关掉地址锁存器门控信号(LDAR=0),打开存储器片选(CE=0),使之处于写状态(CE=0,WE=1),由开关给出此单元要写入的数据,按动STRAT产生T3脉冲将数据写入到当前的地址单元中。写其他单元依次循环上述步骤。

写存储器流程如图2-3所示(以向00号单元写入11H为例)。

图2-3 写存储器流程图

(4)读存储器。

依次读出第00、0

1、0

2、0

3、04号单元中的内容,观察上述各单元中的内容是否与前面写入的一致。同写操作类似,读每个单元也需要两步:第一步写地址,先关掉存储器的片选(CE=1),打开地址锁存器门控信号(LDAR=1),打开,由开关给出要读存储单元的地址,按动START产生T3脉冲将地址打入到地址锁存器;第二步读存储器,关掉数据开关三态门(SW-B=1),打开存储器(CE=0),使它处于读状态(CE=0,WE=0),此时数据总线上显示的数据即为从存储器当前地址中读出的数据内容。读其他单元依次循环上述步骤。

读存储器操作流程如下图2-4所示(以从00号单元读出11H数据为例)。

图2-4 读存储器流程图

实验结果:

置入存储器地址00 写入存储器数据 11H 置入存储器地址01 写入存储器数据12H 置入存储器地址02 写入存储器数据13H 置入存储器地址03 写入存储器数据14H 置入存储器地址04 写入存储器数据15H

读数据

置入存储器地址00 读出存储器数据11H 置入存储器地址01 读出存储器数据12H 置入存储器地址02 读出存储器数据13H 置入存储器地址03 读出存储器数据14H 置入存储器地址04 读出存储器数据15H 实验思考题

(1) 一片静态存储器6116(2K×8),容量是多大?因实验箱上地址寄存器只有8位接入6116的A7-A0,而高三位A8-A10接地,所以实际存储容量是多少?为什么?

答:容量是16kbit大小,当只有A7-A0只有8位字时,实际容量是256*8=4Kbit大小。 (2) 归纳出向存储器写入一个数据的过程,包括所需的控制信号(为“1”还是为“0”)有效。

答:根据实验指导书上WR0有效,此时为写入数据

心得体会:

通过这次实验掌握了静态存储器的基本原理,以及存储器是如何写入数据和读取数据的,强化了计算机存储器的理解

计算机科学与技术-计10

4 计 算 机 组 成 原 理 实 验 报姓

名:

号:

级:

指 导 老 师:

- 1

计算机科学与技术-计10

4一个上升沿,数据66H 被写入W 寄存器。 3)将11H写入R0寄存器

①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据11H

置控制信号为:

③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据11H 被写入R0 寄存器。 4)将22H写入R1寄存器

①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据22H

置控制信号为:

③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据22H被写入R1 寄存器。 5)将33H写入R2寄存器

①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据33H

置控制信号为:

③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据33H被写入R2 寄存器。

- 3

计算机科学与技术-计104

这时寄存器R3 的红色输出指示灯亮,R3 寄存器的数据送上数据总线。此时数据总线指示灯L7... L0为: 01000100. 将K11(RRD)置为1, 关闭R3 寄存器输出。 11)将12H写入MAR寄存器

①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H

置控制信号为:

③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据12H被写入MAR寄存器。 12)将34H写入ST寄存器

①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据34H

置控制信号为:

③按住STEP脉冲键,CK由高变低,观察现象;放开STEP键,CK由低变高,产生一个上升沿,数据34H被写入ST 寄存器。 13)将56H写入OUT寄存器

①K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 ②二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据56H

置控制信号为:

- 5

计算机科学与技术-计10

4(2)掌握简单运算器的数据传送通道。

(3)能够按给定数据,完成实验指定的算术/逻辑运算。

4.实验步骤:

①将55H写入A寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H

置控制信号为:

按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。

②将33H写入W寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据33H

置控制信号为:

按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据33H 被写入W 寄存器。

③置下表的控制信号,检验运算器的运算结果

- 7

计算机科学与技术-计10

4实验2:移位实验 将55H写入A寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H

置控制信号为:

按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。

S2S1S0=111 时运算器结果为寄存器A内容

5.实验结果与分析:

移位与输出门是否打开无关,无论运算器结果如何,移位门都会给出移位结果。但究竟把那一个结果送数据总线由X2X1X0输出选择决定。表中第一行,A中寄存器值为55H=01010101,L为左移结果为:10101010B=AAH,D为直通输入结果为原值,R为右

- 9

计算机科学与技术-计10

4(2)按图3—6连接实验线路, 仔细查线无误后接通源。

4.实验结果与分析:

① 编程

- 11

计算机科学与技术-计104

MAO清零,从而明确本机的运行入口微地址为000000(二进制)。

D.按动“START”键,启动时序电路,则每按动一次启动键,读出一条微指令后停机, 此时实验台上的微地址显示灯和微命令显示灯将显示所读出的一条指令。 注意:在当前条件下,可将“MICRO—CONTROLLER”单元的sE6一sEl接至“SWITCH UNIT”中的S3—Cn对应二进制开关上,可通过强置端sEl一sE6人为设置分支地址。将SEI—SE6对应二进制开关量为“1”,当需要人为设置分支地址时,将某个或几个二进制开关置“0”,相应的微地址位即被强置为“l”,从而改变下一条微指令的地址。(二进制开关置为“0”,相应的微地址位将被强置为“l”) ④ 连续运行

A.将编程开关置为“RUN(运行)”状态。

B.将实验板的单步开关“STEP”置为“EXEC”状态。

C. 使CLR从l→0→l,此时微地址寄存器清“0”,从而给出取指微指令的入口地址为 000000(二进制)。

D.启动时序电路,则可连续读出微指令。

5.实验注意事项:

此次实验主要要掌握微程序控制器的组成、工作原理;明确微程序、微指令、微命令的概念;掌握微指令、微程序的设计及调试方法;通过单步方式执行若干条微指令深入理解微程序控制器的工作原理;用逻辑分析仪测试微程序控制器指令的转移,微程序、微指

- 13

课程名称:计算机组成原理学

院:计算机科学与工程专

业:计算机科学与技术指导教师:廖建明学生姓名:林怡学

号:实验成绩:日

期:实验报告

2012060020023

2014 年 11

28

日月

电 子 科 技 大 学

一、实验一:ALU设计实验

二、实验室名称:主楼A2-411 实验学时:4

三、实验目的:

1.熟悉ALU的工作原理。 2.掌握多个ALU的扩展方法。

3.掌握用硬件描述语言设计ALU的方法。

4.掌握数据的暂存和分时传送的方法。

四、实验内容

设计一个8bit ALU,实现两个8bit二进制数的算术运算和逻辑运算, ★算术运算(加、减); ★逻辑运算(与、或、置

1、清0); 实验要求:

1.设计一个4bitALU模块;

2.如何用4bitALU实现8bitALU的功能?

3.数据的输入/输出

输入: 只有8个开关,如何分时输入数据?

输出: 8个指示灯(数据),1个指示灯(进位/借位)

4.控制端输入

模式控制: (算术 / 逻辑)

运算方式控制: (+、- / and、or 、set、clr)

分时控制位: (输入数据的使能端)

数据输入控制脉冲:

五、实验原理: 实验原理图如图一:

图一

由图可知,ALU模块有四个输入,分别为寄存器A、B,模式控制端M与逻辑/算术运算方式控制端SE。输出为四位的D,同时还有一个进位/借位端cout。

其中M端为一位的输入,当M=1时,模块将用于逻辑运算,而M=0时,模块将进行算术运算。而两种运算模式下,两位的输入端SE的不同的值又将控制+、- / and、or 、set、clr等不同的运算方式。所以可以考虑采用if..else..结合case模块的方式来实现always块中的功能描述。

六、实验器材:

PC机、Xilinx ise7.1 集成开发坏境、pq208芯片一块

七、实验步骤:

1、在Xilinx ise7.1 集成开发坏境中输入实验代码,保存并验证其正确性;

2、将模块中使用到的开关与pq208的各个引脚的标号对应起来,并在xilinx中将其设置好;

3、将所需的开关与pq208的对应引脚用电线连接起来,之后将验证正确的代码下载到pq208芯片中;

4、根据实验要求操作各开关,观察指示灯的结果以验证实验的正确性;

实验代码如下:

module alu(A,B,M,SE,D,cout); input [3:0] A; input [3:0] B; input M; input [1:0] SE; output [3:0] D; output cout; reg [3:0] D; reg cout; always @(A,B,M,SE) begin

// 逻辑运算模式 if(M) begin case(SE) 2'b00:D=A&B; //与运算 2'b01:D=A|B; //或运算 2'b10:D=4'b1111; //置1 2'b11:D=4'b0000; //置0 endcase end

else //算术运算模式

begin

case(SE)

2'b00: {cout,D}=A+B; //加法运算 2'b01:{cout,D}=A+B; 2'b10: {cout,D}=A-B; //减法运算 2'b11:{cout,D}=A-B; endcase end end endmodule

I/O 端口与指示灯的连接方式: A[3:0] 与K1,K2,K3,K4相连, B[3:0]与K5,K6,K7,K8相连,

M与K10相连,SE与K12,K11相连,cout与L5相连, 输出端D[3:0]分别与L4,L3,L2,L1相连。

八、实验数据及结果分析:

电路图如图

二、三所示:

图二

图三 图三中,当输入为0-1时,发现指示灯结果如为D[3:0]=1111 且cout=1。分析后可知,这个结果是由于-1的四位二进制补码表示为1111。

九、总结、改进建议及心得体会:

通过本次实验,我不仅完成了Verilog HDL语言从书本知识到实践的转化,同时也加深了对计算机CPU中的ALU模块的各种功能的了解。把自己设计的ALU模块加载到pq208芯片上进行仿真验证,非常好地锻炼了我的动手能力,看到自己写出的代码能够有实质性的成果展示也更好的调动了我的积极性。

总体来说,这次实验是非常有收获的。

电 子 科 技 大 学

一、实验二:存贮器设计实验

二、实验室名称:主楼A2-411 实验学时:4

三、实验目的:

1.掌握存贮器的读写控制方法,(读信号、写信号、片选信号)。 2.掌握存储器的字扩展和位扩展方法。 3.掌握用硬件描述语言设计存贮器的方法。 4.了解存储器种类、工作原理和特点。

四、实验原理: 实验原理图如下图:

分析上图可知,我们要把16*4的存储器扩展为一个32*8的存储器模块,总共需要4块16*4的存储器模块。我们首先需要把两块16*4的模块连接在一起扩展为一块16*8的存储器模块,再对两块16*8的模块进行字扩展,最终把它们扩展为一块32*8的静态存储器模块。

五、实验内容:

用字扩展和位扩展的方式,设计一个32X8的静态存储器,能够对其随机的读写。其中:32表示地址的寻址空间大小,8表示数据单元的位数。 要求:

1.设计一个16X4的可随机读写的存储器模块。

2.利用16X4存储器模块,如何通过级连实现32X8的存储器的功能。 3.数据、地址的输入/输出

数据/地址的输入:开关控制。

数据的输出:指示灯显示。 4.控制信号

片选:低有效。

读:低有效。

写:上升沿有效。

六、实验器材:

PC机、Xilinx ise7.1 集成开发坏境、pq208芯片一块

七、实验步骤:

1、把两块16*4的存储器模块位扩展为16*8的存储器模块;

2、继续把两块16*8的存储器模块字扩展为一块32*8的存储器模块;

3、在Xilinx ise7.1 集成开发坏境中把写好的实验代码保存;

4、将模块中使用到的开关与pq208的各个引脚的标号对应起来,将所需的开关与pq208的对应引脚用电线连接起来,之后将验证正确的代码下载到pq208芯片中;

5、根据实验要求操作各开关,对存储器进行读写操作并观察指示灯的结果以验证实验的正确性;

实验代码如下: 16*4存储器设计模块

module ram16_4(din,addr,wr,rd,cs,dout);

parameter D_WIDTH = 4;

parameter A_WIDTH = 4;

input[D_WIDTH-1:0] din;

//D[3:0] input[A_WIDTH-1:0] addr;

//AD[3:0] input wr,rd,cs; output[D_WIDTH-1:0]dout;

reg [D_WIDTH-1:0] ram [(2**A_WIDTH)-1:0]; //16*4

wire [D_WIDTH-1:0] dout;

always @(posedge wr)

if (!cs)

ram[addr] <= din;

assign dout = (!(rd||cs))?ram[addr]:4'bzzzz;

//rd cs同时为低电平 ram[addr] endmodule 16*8存储器设计模块

module ram16_8(d,ad,wr,rd,cs,dout);

input[4:0] ad; input[7:0] d; input wr,rd,cs; output[7:0] dout; ram16_4 m1(.din(d[3:0]),.addr(ad[3:0]),.wr(wr),.rd(rd),.cs(ad[4]|cs),.dout(dout[3:0])); ram16_4 m2(.din(d[7:4]),.addr(ad[3:0]),.wr(wr),.rd(rd),.cs(~ad[4]|cs),.dout(dout[7:4])); endmodule

32*8存储器设计模块

module ram32_8(d,ad,wr,rd,cs,dout);

input[4:0] ad; input[7:0] d; input wr,rd,cs; output[7:0] dout; ram16_8 ram16_8_1(.d(d[3:0]),.ad(ad[3:0]),.wr(wr),.rd(rd),.cs(ad[4]|cs),.dout(dout[3:0])); ram16_8 ram16_8_2(.d(d[7:4]),.ad(ad[3:0]),.wr(wr),.rd(rd),.cs(~ad[4]|cs),.dout(dout[7:4])); endmodule

八、 实验数据及结果分析:

实验结果图如下:

九、 总结、改进建议及心得体会:

本实验对存储器模块进行了字扩展和位扩展,将16*4的模块扩展为32*8的存储器模块,加深了我对于存储器扩展这部分知识的理解,同时让我对于Verilog HDL语言中的模块调用这部分的机制有了更深入的体会。

在验证实验结果的环节,自己动手操作对存储器模块进行读写操作也使我对于存储器这个计算机的重要组成部分有了一个更加感性的认识,对于它的寻址方式,片选逻辑等机制都有了更深层次的掌握。

计算机组成原理移位图,计算机组成原理实验报告3-数据输出实验 移位门实验相关推荐

  1. 计算机组成原理移位图,计算机组成原理移位运算实验报告.doc

    计算机组成原理移位运算实验报告.doc 计算 机组成原理实验五 移 位运算实验 姓名 陈衍席 学号1205110125 网工 1202 [实验环境][实验环境] 1. Windows 2000 或 W ...

  2. 计算机数据表示实验报告,实验报告二数据的表示

    <实验报告二数据的表示>由会员分享,可在线阅读,更多相关<实验报告二数据的表示(4页珍藏版)>请在人人文库网上搜索. 1.计算机系统基础实验报告学院 信电学院 专业 计算机科学 ...

  3. 计算机仿真气垫上的直线运动验报告,在气垫导轨上测加速度的实验报告.doc

    在气垫导轨上测加速度的实验报告 在气垫导轨上测加速度的实验报告 篇一:大学物理实验气垫导轨实验报告 气轨导轨上的实验 --测量速度.加速度及验证牛顿第二运动定律 一.实验目的 1.学习气垫导轨和电脑计 ...

  4. c语言程序设计综合性设计实验报告,《C语言程序设计》-综合性实验实验报告(参考格式...

    <<C语言程序设计>-综合性实验实验报告(参考格式>由会员分享,可在线阅读,更多相关<<C语言程序设计>-综合性实验实验报告(参考格式(9页珍藏版)>请 ...

  5. php运算符实验报告,第二章,第三章实验报告(示例代码)

    C程序设计实验报告(第二次) 姓名:熊毅 实验地点:家 实验时间:2020.03.10 实验项目:字符与ASCII码:运算符与表达式的应用:顺序结构应用程序:数学函数:鸡兔同笼:确定坐标 一.实验目的 ...

  6. 大学物理实验电学基本参数的测量实验报告_基本电学参数测量物理实验,天津科技大学...

    基本电学参数测量实验报告 班级 ***** 组 A 姓 名 *** 学号 ******** 实验成绩 [实验目的] 了解电学实验的要求.操作流程和安全知识: 学习电学实验中常用仪器的使用方法: 学会测 ...

  7. c语言冒泡法排序实验报告,四川大学微机原理冒泡法排序实验报告.doc

    四川大学微机原理冒泡法排序实验报告四川大学微机原理冒泡法排序实验报告 <微机原理与接口技术实验报告> 学 院: 电气信息学院 班 级: 电力 老 师: 学 号: 姓 名: 目 录 实验目的 ...

  8. 计算机第四次实验报告表,东南大学计算机网络第四次实验报告

    <东南大学计算机网络第四次实验报告>由会员分享,可在线阅读,更多相关<东南大学计算机网络第四次实验报告(9页珍藏版)>请在人人文库网上搜索. 1.东南大学自动化学院实验报告课程 ...

  9. 初中信息技术用计算机做科学实验报告,今秋中考加试信息技术和理化实验操作...

    2月26日,记者从全省教育工作会上获悉,2014年秋季学期起,初三年级学生中考加试理化实验操作和信息技术,其中2014年10月份进行信息技术考试,2015年5月份进行理化实验操作考试(生物实验操作暂缓 ...

最新文章

  1. android中Logcat的TAG过滤
  2. SpringBoot无法书写主启动类的情况之一
  3. nginx 上传文件 405_今天教大家Nginx+tomcat负载均衡群集如何配置
  4. 关于游戏平衡性——王者荣耀英雄伤害数值参考
  5. junit5和junit4_JUnit 5 –基础
  6. 对request.getSession(false)的理解(附程序员常疏忽的一个漏洞)
  7. 腾讯-地图:腾讯位置服务
  8. java布尔类型比较器_浅谈Java中几种常见的比较器的实现方法
  9. Alpha,Beta,RC,RTM,EVAL,CTP,OEM,RTL,VOL
  10. bootstrop table api
  11. 康佳电视android,康佳电视怎么连接手机 康佳电视连接手机步骤【图文介绍】
  12. ios搜索(可实现模糊搜索 支持拼音检索 首字母等)
  13. mailru邮箱下载_Mail.Ru邮箱ios客户端下载|Mail.Ru邮箱iphone/ipad版下载 6.2 - 跑跑车苹果网...
  14. DIV+CSS+JavaScript技术制作网页(旅游主题网页设计与制作)云南大理
  15. wb在计算机知识里是什么意思,相机上的WB,ISO,S和EV是什么意思?
  16. 【粉笔结构化面试】综合分析类
  17. 继续安利两个漫画App
  18. 8个免费的PNG素材网站推荐
  19. pom 文件的project标签报错Failed to read artifact descriptor for xxx:jar
  20. tensorflow2.0之one_hot函数使用

热门文章

  1. 一木.溪桥学Python-03: Python 运算符
  2. http://mp.weixin.qq.com/mp/homepage微信公众平台封面制作
  3. DeskFX for mac(音频增强软件)
  4. 商贸服务器显示一个窗口,金蝶 商贸高级版 远程服务器
  5. C++抽象编程——接口(6)——设置随机数种子
  6. linux kpti 性能,KPTI实现机制及性能与开销
  7. 一条SQL语句从开始到结束到底经历了什么?
  8. 企业微信会话内容存档功能说明
  9. 战线拉长,抖快搅局,这届双十一有何看头?
  10. 阅读量超1亿!应聘要求自曝「恋爱经历」你怎么看?