安装Quartus ii 20版本步骤以及Modelsim SE 10版本配置步骤

网盘链接:https://pan.baidu.com/s/1U_sjhdvSKYLSzcmnyZOBBw
提取码:xynh
Quartus 精简版(免费无需破解):

第一步:安装Quartus ii 20.1.1以及器件库

  • 下载好我给的链接或是到intel官网下载(官网下载需要注册一个账号)
  • 安装步骤
    1)先在空间足够大的磁盘里新建一个空文件夹,将三个文件都放到这个文件夹里,然后依次将quartus精简版20版本和自带的仿真安装路径改为这个空文件夹。
    2)将器件库的后缀名.zip改为.pdz。至此quartus20版本安装完成。(或者也可以在安装quartus时将器件库一栏勾选)

第二步:安装Modelsim SE 10.6d

Modelsim_SE 10.6 安装(需要破解,Modelsim_SE比自带的仿真功能强大):

  • 找一个空间足够大的磁盘,新建一个空文件夹,将Modelsim解压到空文件夹中。然后直接安装就好了。安装好后是不能使用的,需破解哦。
注:Modelsim需要破解,怎么破解网上直接查找就好了。

第三步:将Quartus ii 20.1.1与Modelism SE 10.6d进行关联

第四步:简单演示效果

视频连接:Modelsim + quartus 20 仿真

————————————————
版权声明:本文为CSDN博主「twoyou」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。

安装Quartus ii 20版本步骤以及Modelsim SE 10版本配置步骤相关推荐

  1. quartus linux 安装教程,在Linux下安装Quartus II

    本文介绍如何在Centos 5.3上安装Quartus II 7.2 (这是我手头那块开发版配套版本,其他版本没有试过,估计也差不多) 1. 进入光碟目录 [xzeng@xzeng-desktop l ...

  2. Quartus 13.0和Modelsim SE 10.1a 联合仿真

    Quartus 13.0和Modelsim SE 10.1a联合仿真 1.首先在Quartus建立工程,编写HDL文件,进行编译:编译通过后编写testbench文件,再进行编译,直到通过没有错误.. ...

  3. Modelsim SE 10.4a安装

    作为"家里蹲大学"奇观之一,当然少不了在家做课程设计了.这次用到了Modelsim. Modelsim SE 10.4a下载链接 提取码:llp1 Modelsim是一款专业的HD ...

  4. modelsim se 10.1a 下载与破解

    原文地址为: modelsim se 10.1a 下载与破解 学HDL避免不了的要用到modelsim,遗憾的是至今也没怎么用,昨天下载了modelsim装上了,鼓捣破解鼓捣了一阵子. 我是一个软件控 ...

  5. modelsim 仿真软件 百度云分享 modelsim se 10.7 10.6d 10.6c 10.5 10.4

    modelsim se 10.7 链接:https://pan.baidu.com/s/1NDC2yMCZmA4bIRSk2dUiTg 提取码:4l1d 复制这段内容后打开百度网盘手机App,操作更方 ...

  6. Win10安装Quartus Prime 20.1 Lite

    1,到官方网站上下载Quartus Prime 20.1 Lite版本,该版本免费,无需授权.其中2是主文件,必须要下.3是modelsim,可以方便的进行仿真,已经安装了仿真工具的可以不下.4是器件 ...

  7. Quartus II 11.0破解要点(与低版本的不同)

    小序: 最近用到了黑金的altera飓风4带的开发板,套件里面带的Quartus II软件版本为11.0,之前所用版本为9.1,所以打算吧11.0版本也安装一下.没想到这个破解的过程让我属实蛋疼,步骤 ...

  8. mac版本自带2.7.10版本的python情况下如何安装和使用python3.x

    mac自带2.7.10版本的python,因为现在教程都是关于3.x的,也想从3.x学起,那么需要安装python的3.x 查询资料,并不推荐卸载自带的2.7.10,因为有写library依赖于2.x ...

  9. ModelSim SE 10.0a建立并调用Xilinx ISE 13.1仿真库详解

    从网上搜到的有很多,实践过其中几种,其中一种较简单的方法如下: 1)安装ModelSim 和ISE 并注册破解: 2)将ModelSim根目录下的modelsim.ini文件的只读属性去掉. 3)将c ...

最新文章

  1. xcode 中无法进行虚拟机调试_在软件部署中使用 strace 进行调试
  2. MySQL Workbench/SQLyog 高分辨率屏幕上界面模糊的解决方法
  3. OS_CORE.C(5)
  4. 「HDU6579 Operation」 - 线性基
  5. RBAC 权限设计(转载)
  6. Teams bot的调用限制
  7. cnblog 的小问题 - 尽在 null 中
  8. 标星7000+,这个 Python 艺术二维码生成器厉害了!
  9. linux whois工具,Linux whois命令
  10. matlab求机制,[转载]文化算法 matlab
  11. JavaScript对象与创建对象的方式
  12. 高斯正反算 java_高斯投影正反算的代码
  13. 用python下载ts视频
  14. QC的七大手法和八大原则
  15. 【20220825】【数学基础】用最小二乘法求解超定方程组
  16. 斯托尔兹(Stolz)定理
  17. python画e指数函数_python绘制指数函数
  18. JavaTutorials之Operators
  19. ES6标准入门略读笔记
  20. 什么是项目管理,如何做好项目管理?

热门文章

  1. D365 :使用OData编写Web API
  2. 关于使用GHOST批量重装、克隆系统的经验之谈(fishing_6)
  3. 在 SQL 中计算分页元数据,无需额外的往返
  4. MySQL设置超级用户权限
  5. 【操作系统】实验四 进程同步与通信
  6. 论文学习--Resource allocation for multi-user downlink MISO OFDMA-URLLC systems
  7. 智能园区“中关村壹号”,颠覆你对园区的认识
  8. python re示例学习
  9. 深入调查 Angler 漏洞攻击工具(EK)
  10. 互联网家装二次风起?