这些方法的原型:

int first(string seeking,int ignore = 0)where seek是要搜索的字符串,ignore是字符串开始处(或结束时,对于last())的字符数,应该跳过 通过搜索。 它们的结果是找到的字符串在原始字符串中最左边的字符的位置。执行成功是快速反馈

这种方法给我们即时反馈小的执行错误,否则可能很难找到。 每个单元测试运行得非常快,它可以给出任何运行时间在20秒以内的代码改变时的成功或失败信息,即使是在三种主流的模拟器上运行。有了这个能力,在所有支持的平台上测试每一个新的变化只需要不到一杯咖啡的功夫,我们因此能高度的保持代码库在任何时候都能正常工作。SVUnit的简单明了的报告机制将执行错误迅速锁定到单个测试用例。API库的连续完整性检查

单元测试还为我们提供了面向用户的API是否方便智能的预判,因为我们不得不在实现之前使用该API编写测试代码。糟糕的设计因此会被迅速的凸显出来。例如,我们之前尝试在一个函数中包含多个可选参数(比如参数具有默认值)。错误很快凸显出来,这对于用户来说是极易出错的,因为它很容易提供一个值到错误的可选参数,并因此导致令人惊讶的结果。单元测试组成的文档池

最后,不断增加的测试组件持续提供各种示例函数的有用信息,这样的一个好处就是每个示例的测试结果都是被单独记录的。

B、文档

使用自动文档生成器(例如Doxygen或NaturalDocs)来记录这些包已经变得很流行了。它们具有显而易见的重要优点,即代码有改变时文档将自动更新。然而,我们选择了减少这种趋势,并恢复为传统的手动生成的文档。 这个似乎倒退的步骤是在仔细思考后才采取的。 从代码及其注释生成的文档往往专注于单个代码片段的属性,直到功能级别。但是我们认为人们更有可能对包的总体理论感兴趣:其组件之间的关系, 以及它作为一个整体所遵循的任何指导原则。 我们相信这些信息更好地捕捉在人工手写的材料中。

当代码库快速变化时,会发现自动生成的文档的巨大优势,因为必须不断审查层次结构中的类之间的复杂关系。 但是对于像svlib这样的包的用户面向行为应该被做的非常稳定,因此这个优势不太明显。

十一、性能

虽然svlib提供了一些SystemVerilog难以实现的功能性接口,但是如果其性能不可接受地慢,那么该方便性将是无用的。我们已经测量了所选svlib功能的性能成本,我们认为这是可以接受的,因为诸如文件访问和操作大字符串的性能耗竭操作很可能只会很少使用。

十二、未来的工作

我们有一个广泛的未来工作的“购物清单”,我们希望在资源允许的情况下添加,以及如果对它们有任何需求。其中我们应该提到:完全支持MicrosoftWindows®平台

支持逗号分隔值(CSV)表格数据文件

支持对数据库的SQL访问

将已打开的C文件句柄与Verilog文件标识符相关联。通过这种机制,将提供广泛的有趣的新功能,包括对临时文件的支持,以及通过TCP / IP套接字和其他网络机制的通信。

如果可以在SystemVerilog和诸如Python之类的脚本语言之间建立紧密的连接,将会产生大量激动人心的可能性。这个想法已经通过PyHVL项目以略微不同的方式进行了探索,我们几乎没有开始考虑这一领域可能的相互作用。

十三、小结

初始版本可在发布时下载,可通过访问www.verilab.com/resources下的svlib链接找到。

我们认为这是一个beta质量版本:它有一个完整的功能集,我们自己已经在大量使用它,但它还没有在项目工作中的大量使用,因此可能不够成熟。因此,我们欢迎潜在用户的反馈,我们希望使用DVCon来收集用户对这样的库的反馈。

如果这项倡议得到热烈欢迎,我们将开始寻求办法使更广泛的社会支持它并推动它。作者欢迎任何反馈,包括svlib的技术内容及其在实践中的实用性。

system verilog编程题_SystemVerilog通用程序库(下)相关推荐

  1. system verilog编程题_拼多多2020校招部分算法编程题合集

    拼多多2020校招部分算法编程题2道,多多的魔术盒子和多多的排列函数 其实根据他的匹配职位我们可以看到,这5道题的难度还是并不高,只是作为一个初步筛选,我这边选择了前两道跟大家分享 [编程题一] 多多 ...

  2. 剑指 offer 编程题 C++ 版总结(下)

    解题思路:让两个指针分别指向两个链表,当遍历到最末尾的结点后,指针指向另一个链表的头结点.例如:A 和 B 开始的时候分别指向 4 和 5.两个指针分别遍历链表,当 A 遍历到末尾结点的 5 时,下一 ...

  3. 美团点评2020校招系统开发方向笔试题(编程题题解)

    这套笔试题的编程题很简单,感觉没什么可以写的题解的 [编程题]大数加法 注意下负号的情况 #include <bits/stdc++.h> using namespace std; typ ...

  4. (04)System Verilog 利用函数通用总线激励驱动方法

    (04)System Verilog 利用函数通用总线激励驱动方法 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 利用函数通用总线激 ...

  5. (03)System Verilog 通用总线激励驱动方法

    (03)System Verilog 通用总线激励驱动方法 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 通用总线激励驱动方法 5) ...

  6. java怎么随机的落下球_java入门程序编程题,一球100米自由落下编程

    下面要给大家带来的是一道非常经典的java入门编程题,一起来看看题目,以及解题的思路方法吧. 一.题目 100米高度自由落下,每次落地后反跳回原高度的一半,再落下. 求: 它在 第10次落地的时候,一 ...

  7. System Verilog面向对象编程(OPP)基础——类(class)的基本使用

    该文主要是笔者梳理绿皮书对应章节的内容 System Verilog面向对象编程OPP基础--类(class)的基本使用 面向对象编程 概述 考虑名词而非动词 编写第一个类class OOP术语 创建 ...

  8. java 打印一棵树_java编程题之从上往下打印出二叉树

    本文实例为大家分享了java从上往下打印出二叉树的具体代码,供大家参考,具体内容如下 import java.util.ArrayList; import java.util.Stack; /** * ...

  9. 编程题【System类】计算一千万个数添加到集合的时间

    import java.util.ArrayList;/*** ## 编程题[System类]* <p>* 请定义一个集合,并将1至1千万这1千万个数字添加到集合中* ,计算这个行为运行的 ...

  10. sv_labs学习笔记——sv_lab5_下(System Verilog)

    本文延续前一篇sv_labs学习笔记--sv_lab5_上(System Verilog),进一步学习完善lab5的内容 sv_labs学习笔记--sv_lab5_下(System Verilog) ...

最新文章

  1. 圣诞限定快乐,送3本技术好书
  2. awl 多线程syn***
  3. python 镜像_python测开平台使用dockerfile构建镜像
  4. VB代码VB小程序:实现USB摄像头视频图像的监控、截图、录像
  5. 笨方法学python3 习题41
  6. RFC2544吞吐量测试详细步骤-MiniSMB-HurricaneII软件操作演示
  7. 【微信小程序】全局配置 - tabBar
  8. 洛谷 桶哥的问题——吃桶——题解
  9. 计算机网络ip地址分类的范围,ip地址分类及范围_ip地址由什么组成
  10. PASCAL VOC 数据集详解与MS COCO组合方式
  11. 软件测试时如何检测内存,如何进行内存检测?内存检测的功能使用方法介绍
  12. 牛客网练习,某公司2017秋招 叫车 2017年
  13. 用Photoshop去除图片中的原有文字
  14. 基于ZigBee和STM32的智能家居控制系统的设计与实现(三)
  15. 菜鸟学Java——简单验证码生成(Java版)
  16. 一线城市软件测试工资——你拖后腿了吗
  17. 阿ken的HTML、CSS的学习笔记_文本样式属性(笔记三)
  18. 谈谈深度学习目标检测中的遮挡问题
  19. java画虚线_Java绘制虚线
  20. ps入门第3天_ps抠图选区的几种方法

热门文章

  1. PVE安装画面灰白只显示鼠标解决方案
  2. 中国农业大学计算机专硕2019分数线,中国农业大学2019考研复试分数线已公布
  3. 计算机组成原理无符号数除法,计算机组成原理课设 不恢复余数的无符号阵列除法器.doc...
  4. Python 操作 Excel 表格
  5. 深入探究 null 和 undefined 区别
  6. 图书销售系统 php,php文学小说销售系统
  7. 【分享】找回word文档保护密码
  8. Android闹钟程序
  9. 运筹说第38期“迟到“的毕业证-趣闻轶事(三)
  10. RepOptimizer学习笔记