文章目录

  • 芯片与板子介绍
    • 主频
    • RAM 与 Flash
    • io数与封装
    • 内置外设
    • 板子外设
  • 新建工程文件的步骤
    • 选择芯片
    • 选取调试方式,选取高速晶振,调整时钟
    • 修改文件设置,和生成设置
    • 生成工程文件
  • 工程文件夹解读
    • core
    • Drivers
    • MDK-ARM
  • main.c和main.h文件解读
    • main.c
    • main.h
  • 补充位带操作代码
    • 使用案例
  • 挖坑cubeide

芯片与板子介绍

我现在使用的芯片是stm32f411ceu6

主频

F4系列芯片的最高频率分为4个等级,84、100、168、180MHz。这块芯片是100MHz的,这个最高频率我们在之后计算定时器的时候还是会用到的,当然我们的芯片也基本会一直以最高频率运行。
我之前一直使用的芯片是f407ve/gt6,它的主频为168MHz。f103c8t6的主频为72MHz。

RAM 与 Flash

stm32f411ceu6的RAM为128kb,其中存放变量等实时数据。Flash为512kb,其中存放代码等静态数据。
STM32芯片的命名中数字代号之后的第二个字母是Flash大小,e就是512kb,g排在之后,1024kb。所以f407vet6Flash为512kb,f407vgt6Flash为1024kb。具体的可以见下图。

io数与封装

这个从上图就可以看出f411ceu6的引脚数是48个,其中可编程io有32个。封装为UFQFPN48。一般常用的封装是QFP,全程是Quad Flat Package,四方扁平式封装,引脚是露出来的,扁平的L型。QFNQuad Flat Non-leaded Package,即四侧无引脚扁平封装。这是一种从上面看不见引脚的封装,整个芯片就是一个扁平的四方体。

内置外设

作为一个单片机,内置外设的多少和质量是很重要的。大致上,f411ceu6由于引脚少,芯片面积小,有5个16位定时器,2个32位定时器,1个电机专用的16位定时器,1个12位ADC,有16个通道,通信外设若干……具体的可见下图。

板子外设

板子是某宝上很简单的一块开发板。上面有个25MHz的高速晶振,和一个32.768kHz的低速晶振。有复位按键、boot0按键、和一个可编程按键。有一个可编程灯。SW的烧录口。仅此而已。其中晶振的数值我们在新建工程时需要使用到。

新建工程文件的步骤

打开cubemx6.4.0

选择芯片

点击ACCESS TO MCU SELECTOR,选择STM32F411CEU6

选取调试方式,选取高速晶振,调整时钟



修改文件设置,和生成设置



在这里,我勾选了备份先前的文件,我是准备试试这个功能的,具体情况,之后再总结。

生成工程文件

点击GENERATE CODE即可。

工程文件夹解读

core

core文件夹中有inc和src两个子文件夹。inc是include的缩写,里面包含的是.h文件,也就是头文件。src是source code的缩写,里面包含的是.c文件,也就是源码文件,又称源文件。这些文件是根据用户在cubemx中的设置生成的,也有一部分是不设置也会生成的,比如main.c文件。

gpio.c中是开启了GPIOH和GPIOA的时钟,因为我们的下载用到了PA13、PA14,高速晶振用到了PH0、PH1。
main.c的结构我们一会儿再说明。
hal_msp.c中的msp应该是MCU Specific Package的缩写,是针对MCU做的底层初始化。其中是关于MCU的最底层的东西。
it.c是中断相关的内容,it是interrupt的缩写。但是我的中断服务程序也不会写在这个里面。
system.c是一些关于特殊芯片型号的ram地址区别选择。这些东西都是预先写好的,根据定义自动修改,我们不用进行调整。

Drivers

Drivers文件夹里的都是库文件,是从一开始预先下载的那些包中,拷贝过来的。在代码生成器设置里面有关于怎么拷贝库文件的选项,我们选择只拷贝必要的库文件即可,可以减小工程文件的大小。

MDK-ARM

这里是mdk-ARM的工程文件所在文件夹,可以从这里打开keil文件。一些keil生成的文件也可以在这个文件夹被找到。

main.c和main.h文件解读

说是解读,其实就是英文不好,看不懂英文。那就翻译一遍。
写代码要写在Begin和End之间,这样不会被重新生成代码而冲掉。

main.c

开头介绍文件内容和职能。

/* USER CODE BEGIN Header */
/********************************************************************************* @file           : main.c* @brief          : Main program body******************************************************************************* @attention** Copyright (c) 2022 STMicroelectronics.* All rights reserved.** This software is licensed under terms that can be found in the LICENSE file* in the root directory of this software component.* If no LICENSE file comes with this software, it is provided AS-IS.********************************************************************************/
/* USER CODE END Header */

include的头文件

/* Includes ------------------------------------------------------------------*/
#include "main.h"
#include "gpio.h"

从上到下分别是

  • 私人头文件
  • 私人类型定义(PTD)(typedef 类型定义)
  • 私人定义 (PD)(define 定义)
  • 私人宏定义 (PM)(macro 宏)
  • 私人变量(PV)(variables 变量(复))
  • 私人函数原型(PFP)(function 函数 prototypes 原型)
  • 第0段用户代码(code 代码)

/* Private includes ----------------------------------------------------------*/
/* USER CODE BEGIN Includes *//* USER CODE END Includes *//* Private typedef -----------------------------------------------------------*/
/* USER CODE BEGIN PTD *//* USER CODE END PTD *//* Private define ------------------------------------------------------------*/
/* USER CODE BEGIN PD *//* USER CODE END PD *//* Private macro -------------------------------------------------------------*/
/* USER CODE BEGIN PM *//* USER CODE END PM *//* Private variables ---------------------------------------------------------*//* USER CODE BEGIN PV *//* USER CODE END PV *//* Private function prototypes -----------------------------------------------*/
void SystemClock_Config(void);
/* USER CODE BEGIN PFP *//* USER CODE END PFP *//* Private user code ---------------------------------------------------------*/
/* USER CODE BEGIN 0 *//* USER CODE END 0 */

main函数

  • 第1段用户代码
  • MCU配置 HAL_Init();//HAL初始化
  • 用户Init(初始化)
  • 配置系统时钟
  • 用户SysInit(系统初始化)
  • 初始化所有配置的外围设备(Initialize all configured peripherals)
  • 第2段用户代码
  • 无限循环(Infinite loop)
  • 主要用户代码
  • 第3段用户代码
/*** @brief  The application entry point.* @retval int*/
int main(void)
{/* USER CODE BEGIN 1 *//* USER CODE END 1 *//* MCU Configuration--------------------------------------------------------*//* Reset of all peripherals, Initializes the Flash interface and the Systick. */HAL_Init();/* USER CODE BEGIN Init *//* USER CODE END Init *//* Configure the system clock */SystemClock_Config();/* USER CODE BEGIN SysInit *//* USER CODE END SysInit *//* Initialize all configured peripherals */MX_GPIO_Init();/* USER CODE BEGIN 2 *//* USER CODE END 2 *//* Infinite loop *//* USER CODE BEGIN WHILE */while (1){ /* USER CODE END WHILE *//* USER CODE BEGIN 3 */}/* USER CODE END 3 */
}

系统时钟配置(自动设置)
选择外部晶振,配置PLL(锁相环)。详细参数可以与下图对照。

/*** @brief System Clock Configuration* @retval None*/
void SystemClock_Config(void)
{RCC_OscInitTypeDef RCC_OscInitStruct = {0};RCC_ClkInitTypeDef RCC_ClkInitStruct = {0};/** Configure the main internal regulator output voltage*/__HAL_RCC_PWR_CLK_ENABLE();__HAL_PWR_VOLTAGESCALING_CONFIG(PWR_REGULATOR_VOLTAGE_SCALE1);/** Initializes the RCC Oscillators according to the specified parameters* in the RCC_OscInitTypeDef structure.*/RCC_OscInitStruct.OscillatorType = RCC_OSCILLATORTYPE_HSE;RCC_OscInitStruct.HSEState = RCC_HSE_ON;RCC_OscInitStruct.PLL.PLLState = RCC_PLL_ON;RCC_OscInitStruct.PLL.PLLSource = RCC_PLLSOURCE_HSE;RCC_OscInitStruct.PLL.PLLM = 12;RCC_OscInitStruct.PLL.PLLN = 96;RCC_OscInitStruct.PLL.PLLP = RCC_PLLP_DIV2;RCC_OscInitStruct.PLL.PLLQ = 4;if (HAL_RCC_OscConfig(&RCC_OscInitStruct) != HAL_OK){Error_Handler();}/** Initializes the CPU, AHB and APB buses clocks*/RCC_ClkInitStruct.ClockType = RCC_CLOCKTYPE_HCLK|RCC_CLOCKTYPE_SYSCLK|RCC_CLOCKTYPE_PCLK1|RCC_CLOCKTYPE_PCLK2;RCC_ClkInitStruct.SYSCLKSource = RCC_SYSCLKSOURCE_PLLCLK;RCC_ClkInitStruct.AHBCLKDivider = RCC_SYSCLK_DIV1;RCC_ClkInitStruct.APB1CLKDivider = RCC_HCLK_DIV2;RCC_ClkInitStruct.APB2CLKDivider = RCC_HCLK_DIV1;if (HAL_RCC_ClockConfig(&RCC_ClkInitStruct, FLASH_LATENCY_3) != HAL_OK){Error_Handler();}
}



错误处理函数

  • 第四段用户代码
  • 错误处理函数
/* USER CODE BEGIN 4 *//* USER CODE END 4 *//*** @brief  This function is executed in case of error occurrence.* @retval None*/
void Error_Handler(void)
{/* USER CODE BEGIN Error_Handler_Debug *//* User can add his own implementation to report the HAL error return state */__disable_irq();while (1){}/* USER CODE END Error_Handler_Debug */
}

检测传递给函数的参数是否为有效的参数,这个我还不会,大家可以参考下面的博文。
assert_failed函数使用

#ifdef  USE_FULL_ASSERT
/*** @brief  Reports the name of the source file and the source line number*         where the assert_param error has occurred.* @param  file: pointer to the source file name* @param  line: assert_param error line source number* @retval None*/
void assert_failed(uint8_t *file, uint32_t line)
{/* USER CODE BEGIN 6 *//* User can add his own implementation to report the file name and line number,ex: printf("Wrong parameters value: file %s on line %d\r\n", file, line) *//* USER CODE END 6 */
}
#endif /* USE_FULL_ASSERT */

main.c的翻译到此结束。

main.h

开头介绍文件内容和职能。

/* USER CODE BEGIN Header */
/********************************************************************************* @file           : main.h* @brief          : Header for main.c file.*                   This file contains the common defines of the application.******************************************************************************* @attention** Copyright (c) 2022 STMicroelectronics.* All rights reserved.** This software is licensed under terms that can be found in the LICENSE file* in the root directory of this software component.* If no LICENSE file comes with this software, it is provided AS-IS.********************************************************************************/
/* USER CODE END Header */

内容

  • 定义以防止递归的重复定义(recursive 递归的)
  • include(头文件)
  • 私人头文件
  • Exported types 调出类型(ET)
  • Exported constants 调出常量(EC)
  • Exported macro 调出宏定义(EM)
  • Exported functions prototypes 调出函数原型(EFP)
  • 私人定义
  • if define c++
/* Define to prevent recursive inclusion -------------------------------------*/
#ifndef __MAIN_H
#define __MAIN_H#ifdef __cplusplus
extern "C" {#endif/* Includes ------------------------------------------------------------------*/
#include "stm32f4xx_hal.h"/* Private includes ----------------------------------------------------------*/
/* USER CODE BEGIN Includes *//* USER CODE END Includes *//* Exported types ------------------------------------------------------------*/
/* USER CODE BEGIN ET *//* USER CODE END ET *//* Exported constants --------------------------------------------------------*/
/* USER CODE BEGIN EC *//* USER CODE END EC *//* Exported macro ------------------------------------------------------------*/
/* USER CODE BEGIN EM *//* USER CODE END EM *//* Exported functions prototypes ---------------------------------------------*/
void Error_Handler(void);/* USER CODE BEGIN EFP *//* USER CODE END EFP *//* Private defines -----------------------------------------------------------*/
#define LED_Pin GPIO_PIN_13
#define LED_GPIO_Port GPIOC
/* USER CODE BEGIN Private defines *//* USER CODE END Private defines */#ifdef __cplusplus
}
#endif#endif /* __MAIN_H */

补充位带操作代码

在51单片机的学习中,我们可以直接操作引脚。比如P1^1 = 1。
但是在stm32中直接操作引脚需要操作寄存器,或者使用库函数HAL_GPIO_WritePin(标准库的不一样)。
但是在某点原子上有这么一段代码非常有用,可以实现位带操作。
这段代码可以放在main.h中。

/* USER CODE BEGIN Private defines */
XXXX “adhere”
/* USER CODE END Private defines */
//位带操作,实现51类似的GPIO控制功能
//具体实现思想,参考<<CM3权威指南>>第五章(87页~92页).M4同M3类似,只是寄存器地址变了.
//IO口操作宏定义
#define BITBAND(addr, bitnum) ((addr & 0xF0000000)+0x2000000+((addr &0xFFFFF)<<5)+(bitnum<<2))
#define MEM_ADDR(addr)  *((volatile unsigned long  *)(addr))
#define BIT_ADDR(addr, bitnum)   MEM_ADDR(BITBAND(addr, bitnum))
//IO口地址映射
#define GPIOA_ODR_Addr    (GPIOA_BASE+20) //0x40020014
#define GPIOB_ODR_Addr    (GPIOB_BASE+20) //0x40020414
#define GPIOC_ODR_Addr    (GPIOC_BASE+20) //0x40020814
#define GPIOD_ODR_Addr    (GPIOD_BASE+20) //0x40020C14
#define GPIOE_ODR_Addr    (GPIOE_BASE+20) //0x40021014
#define GPIOF_ODR_Addr    (GPIOF_BASE+20) //0x40021414
#define GPIOG_ODR_Addr    (GPIOG_BASE+20) //0x40021814
#define GPIOH_ODR_Addr    (GPIOH_BASE+20) //0x40021C14
#define GPIOI_ODR_Addr    (GPIOI_BASE+20) //0x40022014     #define GPIOA_IDR_Addr    (GPIOA_BASE+16) //0x40020010
#define GPIOB_IDR_Addr    (GPIOB_BASE+16) //0x40020410
#define GPIOC_IDR_Addr    (GPIOC_BASE+16) //0x40020810
#define GPIOD_IDR_Addr    (GPIOD_BASE+16) //0x40020C10
#define GPIOE_IDR_Addr    (GPIOE_BASE+16) //0x40021010
#define GPIOF_IDR_Addr    (GPIOF_BASE+16) //0x40021410
#define GPIOG_IDR_Addr    (GPIOG_BASE+16) //0x40021810
#define GPIOH_IDR_Addr    (GPIOH_BASE+16) //0x40021C10
#define GPIOI_IDR_Addr    (GPIOI_BASE+16) //0x40022010 //IO口操作,只对单一的IO口!
//确保n的值小于16!
#define PAout(n)   BIT_ADDR(GPIOA_ODR_Addr,n)  //输出
#define PAin(n)    BIT_ADDR(GPIOA_IDR_Addr,n)  //输入 #define PBout(n)   BIT_ADDR(GPIOB_ODR_Addr,n)  //输出
#define PBin(n)    BIT_ADDR(GPIOB_IDR_Addr,n)  //输入 #define PCout(n)   BIT_ADDR(GPIOC_ODR_Addr,n)  //输出
#define PCin(n)    BIT_ADDR(GPIOC_IDR_Addr,n)  //输入 #define PDout(n)   BIT_ADDR(GPIOD_ODR_Addr,n)  //输出
#define PDin(n)    BIT_ADDR(GPIOD_IDR_Addr,n)  //输入 #define PEout(n)   BIT_ADDR(GPIOE_ODR_Addr,n)  //输出
#define PEin(n)    BIT_ADDR(GPIOE_IDR_Addr,n)  //输入#define PFout(n)   BIT_ADDR(GPIOF_ODR_Addr,n)  //输出
#define PFin(n)    BIT_ADDR(GPIOF_IDR_Addr,n)  //输入#define PGout(n)   BIT_ADDR(GPIOG_ODR_Addr,n)  //输出
#define PGin(n)    BIT_ADDR(GPIOG_IDR_Addr,n)  //输入#define PHout(n)   BIT_ADDR(GPIOH_ODR_Addr,n)  //输出
#define PHin(n)    BIT_ADDR(GPIOH_IDR_Addr,n)  //输入#define PIout(n)   BIT_ADDR(GPIOI_ODR_Addr,n)  //输出
#define PIin(n)    BIT_ADDR(GPIOI_IDR_Addr,n)  //输入

使用案例

先在cubemx中设置PC13为GPIO_OUT模式,(User laber随便命名一下为LED即可,我们在库函数才能用到)。设置完后生成代码,在main.c中写这个就可以实现LED每隔1s亮闪。

 /* Infinite loop *//* USER CODE BEGIN WHILE */while (1){PCout(13) = !PCout(13);HAL_Delay(1000);/* USER CODE END WHILE *//* USER CODE BEGIN 3 */}/* USER CODE END 3 */

挖坑cubeide

其实cubeide我曾用过,但由于不顺手所以我废弃了,启用了现在这种cubemx+keil5的开发模式。
我打算等这个系列完结后(至少第一季完结后),开始学习cubeide,并且和大家分享我的学习经验。帅还是cubeide帅一点。

STM32之CubeMX学习笔记(4)新建工程文件解读相关推荐

  1. STM32之CubeMX学习笔记(6)USART串口基础功能验证

    文章目录 USART是什么 CubeMX串口设置 Keil v5中初始化和发送 使用逻辑分析仪进行验证 验证基本参数(8bit+None校验位) 8bit/9bit与包含校验位? 总结 USART是什 ...

  2. STM32 HAL库学习笔记2 HAL库介绍

    STM32 HAL库学习笔记2 HAL库介绍 CMSIS标准 一.再次认识HAL库 HAL库设计思想 HAL库实现方式 以GPIO模块为例 GPIO外设数据类型 GPIO外设接口函数 二.使用HAL库 ...

  3. STM32 HAL库学习笔记1-HAL库简介

    STM32 HAL库学习笔记1-HAL库简介 HAL库 SPL 库 和 HAL 库两者相互独立,互不兼容.几种库的比较如下 目前几种库对不同芯片的支持情况如下 ST 中文官网上有一篇<关于ST库 ...

  4. STM32 HAL库学习笔记4-SPI

    STM32 HAL库学习笔记4-SPI 前言 一.SPI协议简介 SPI物理层 SPI协议层 1.基本通讯过程 2. 通讯的起始和停止信号 3. 数据有效性 4. CPOL/CPHA 及通讯模式 二. ...

  5. STM32F407霸天虎HAL库CubeMX学习笔记——DS18B20

    STM32F407霸天虎HAL库CubeMX学习笔记--DS18B20 一.软件准备 二.硬件准备 三.CubeMX配置 四.Keil printf重写 tim.c DS18B20.h DS18B20 ...

  6. STM32 Cube MX学习笔记——TOF 高速单线激光雷达 L10(usart)

    STM32 Cube MX学习笔记--TOF 高速单线激光雷达 L10_串口中断通信 1. TOF 高速单线激光雷达 L10 2. STM32 Cube MX配置 3.代码配置 4.编译 烧录 显示 ...

  7. PWM控制LED亮度(2-呼吸灯)-STM32电控学习笔记10

    PWM控制LED亮度(2-呼吸灯)-STM32电控学习笔记10 day10:2022/9/29 前面学了两三天的PWM了,PWM本身不难理解,至于为啥进度缓慢,还不是这时钟定时器分频值重装载啥的太难理 ...

  8. Keras学习笔记---保存model文件和载入model文件

    Keras学习笔记---保存model文件和载入model文件 保存keras的model文件和载入keras文件的方法有很多.现在分别列出,以便后面查询. keras中的模型主要包括model和we ...

  9. C++学习笔记之对文件的操作2

    转载自** https://www.cnblogs.com/uniqueliu/archive/2011/08/03/2126680.html ** 什么都不说了,继续<C++学习笔记之对文件的 ...

最新文章

  1. python wait_window_pywinauto客户端自动化---窗口等待方法
  2. js_Event Loop(笔记)
  3. 三分钟了解Mysql的表级锁——《深究Mysql锁》
  4. C语言实现字符串匹配KMP算法
  5. 如何在iOS 9中启用“应用程序传输安全性”的情况下加载HTTP URL? [重复]
  6. apache-cxf测试webservice添加header信息
  7. net core webAPI NOPI 导出Excel封装
  8. 51单片机存储器结构
  9. 《nik collection》怎么用
  10. 傻瓜式抠图工具,不用photoshop也能搞定抠图!
  11. 2010年计算机考研选择题解析,2009-2010计算机考研真题及答案(含选择题解析)WORD高清晰版.pdf...
  12. [TOG2020](综述)3D Morphable Face Models—Past, Present, and Future
  13. 12.23网络嗅探实验记录
  14. 昂瑞微蓝牙芯片HS6620D调试记录
  15. php 根据父级id查出,php,_三级分类 like查询 查询到很多id 需要找到对应的父级id 并根据父级id组合 应该怎么根据父级id将数据组合在一起呢?,php - phpStudy...
  16. p82 红蓝对抗-蓝队atckDs蜜罐威胁情报
  17. 为win11家庭中文版开启组策编辑器
  18. Arduino开发实例-DIY分贝测量仪
  19. ECALL,BCALL,ICALL
  20. windows10更新后的不过 网络连接现实感叹号

热门文章

  1. 计算机基础和综合实验,计算机基础与综合编程实验报告.doc
  2. 医院集成平台超融合基础架构转型方案
  3. 关于window11下AE2022没有扩展选项或安装svga插件不现实的问题
  4. 四种两轮差速驱动机器人底盘特性分析
  5. Hbase连接线程ThreadLocal
  6. 复刻 Unity编辑器 移动的方式
  7. 华为交换机vlan间arp代理
  8. 如何进行市场细分并初步绘制用户画像?
  9. H264学习(二)编码方法和编码工具简介
  10. 网络生命大百科全书项目