前言

之前移植接触的都是Xilinx7系列的板子。项目需要使用Xilinx5系列的板子,两者的时钟单元不一样。

在网上搜集了一些资料,总结一下DCM\PLL\MMCM之间的差别。

环境

正文

​ 在 Xilinx FPGA 中,时钟管理器称为时钟管理,简称 CMT。我们使用的DCM /PLL/MMCM都包含在CMT中。

DCM是旧技术,在Spartan-3Virtex-4系列中使用。Spartan-6Virtex-5系列同时使用PLLDCM。在Virtex-6系列中开始使用MMCM,且Virtex-6中只有MMCM。7系列中同时使用PLLMMCMUltraScale/UltraScale+系列也是用PLL和MMCM的组合。

器件 时钟管理单元(CMT) 备注
Spartan-3Virtex-4 DCM
Spartan-6Virtex-5 DCM\PLL
Virtex-6 MMCM
7系列 MMCM\PLL 7系列之所以使用MMCM和PLL的组合,是因为PLL更小,可以提供更多的时钟管理资源。此外PLL通过MIG和DDRx-SDRAM控制器的IO结构紧密绑定。
UltraScale/UltraScale+ MMCM\PLL 与7系列相比,UltraScale/UltraScale+中的PLL功能更加精简。与IO的绑定更加紧密。主要用于DDRx-SDRAM高速IO端口。尽管仍可以使用PLL作为时钟,但是不推荐。推荐使用MMCM。

名词解释

名词 全称 解释
DCM digital clock manager 是数字时钟管理器,核心是一个延迟锁定环路DLL(Delay locked Loop)。可以对时钟进行纠偏,生成不同相位的时钟。动态改变时钟的相位。
PLL Phase Locked Loop PLL是模拟器件,除动态和精细相移之外,几乎可以完成所有DCM的功能。除此之外还可以进行更精确的频率合成,可以同时生成多个不同的时钟频率。具有比DCM更好的抖动性能。
MMCM Mixed-Model Clock Manager 混合时钟管理单元,由一个PLL和DCM的一小部分组成。混合模式是因为PLL是模拟的,DCM是数字的。MMCM可以完成PLL的所有功能,还可以进行精确的相移(依赖DCM的部分)。

DCM可以精确微调相位,也可以用于分频。但是精度有限。

PLL产生的频率比DCM更多,抖动更好,时钟信号质量更好。但是PLL无法动态调整相位。

MMCM由PLL和DCM的一小部分组成,同时具有PLL和MMMCM的优点。但是占用面积比较大。

MMCM_ADV 、PLL_ADV、 DCM_ADV具有一些更加高级的功能,一般动态配置的时候会使用。

附件

参考文献

  1. Xlinx论坛中关于DCM、MMCM 和 PLL的讨论
  2. The difference between PLL and MMCM
  3. DCM、PLL、PMCD、MMCM的区别与联系?
  4. PLL/DLL/DCM/MMCM - Karatos
  5. Differences : MMCM vs PLL vs DCM

DCM和PLL和MMCM的差别相关推荐

  1. DCM、PLL、PMCD、MMCM的区别与联系?

    目录 前言也很重要: 简介: 数字时钟管理器(DCM) 相位匹配时钟分频器(PMCD) 前言也很重要: 由于XIlinx FPGA分为很多器件系列,而且不同系列的FPGA包含的时钟管理资源还不一样,于 ...

  2. FPGA之道(49)DCM与PLL

    文章目录 前言 DCM与PLL PLL模块基本端口简介 时钟输入.输出端口 时钟反馈端口 PLL锁定指示端口 PLL复位端口 PLL配置端口 DCM模块基本端口简介 时钟输入端口 时钟输出端口分类 时 ...

  3. 锁相环PLL/MMCM的使用

    文章目录 锁相环PLL/MMCM的使用 DCM/DLL/PLL/MMCM区别 锁相环PLL/MMCM的使用 DCM/DLL/PLL/MMCM区别 对于FPGA开发者来说,DCM/DLL/MMCM/PL ...

  4. (96)FPGA PLL与MMCM区别?

    (96)FPGA PLL与MMCM区别? 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)FPGA PLL与MMCM区别? 5)结语 1.2 FPGA简介 FPGA(Fi ...

  5. Spartan-6的时钟管理 DCM与 PLL详细介绍

    本文转自:https://blog.csdn.net/Reborn_Lee/article/details/80351618 时钟管理器(CMT) Spartan-6 CMT是一个灵活.高性能的时钟管 ...

  6. 【 FPGA 】时钟简介

    时钟,时序逻辑的心跳 在时序逻辑中,正是时钟信号将各个存储单元中的数据一级一级地推动下去,如果时钟信号突然停止,那么整个时序逻辑也将陷入瘫痪,因此,时钟就好像时序逻辑的心跳一样,那么重要却又平常的存在 ...

  7. XILINX FPGA时钟资源

    Xilinx FPGA时钟资源 Xilinx FPGA时钟包括全局时钟资源.局部时钟资源和I/O时钟资源.Xilinx FPGA除了提供丰富的时钟网络之外,还提供了强大的时钟管理模块,并不断改进,从V ...

  8. 建议收藏:不能不刷的100道数字IC笔/面试题!

    一.IC设计流程及相应EDA开发工具 前端设计(逻辑设计) 1.规格制定 根据客户需求(具体的功能和性能要求)制定芯片规格Spec 2.详细设计 设计方案,具体实现架构,模块划分 3.HDL编码 将实 ...

  9. FPGA知识汇集-时钟系统的移植

    ASIC 和FPGA芯片的内核之间最大的不同莫过于时钟结构.ASIC设计需要采用诸如时钟树综合.时钟延迟匹配等方式对整个时钟结构进行处理,但是 FPGA设计则完全不必.因为后者有内建的时钟资源:锁相环 ...

最新文章

  1. 黑马vue---14、v-model双向绑定
  2. 南信大计算机分类,南信大计算机原理样卷
  3. SQLMAP注入教程-11种常见SQLMAP使用方法
  4. vuex的命名空间有哪些_vuex模块化和命名空间的实例代码
  5. 使用Docker Compose 部署Nexus后提示:Unable to create directory /nexus-data/instance
  6. css中的单位换算_金蝶ERP入门教程:动态换算率及辅助计量单位的应用
  7. 手把手教你dns服务器未响应导致无法上网怎么办
  8. 保点发布RFID鲜肉跟踪方案
  9. Python 中拼音库 PyPinyin 的用法,没错见名知意它就是用来翻译汉字的
  10. Sphinx/Coreseek 4.1 跑 buildconf.sh 一个错误,无法生成configure档
  11. easyui 使用笔记
  12. 金蝶新建生产领料单,单据编号不能自动填写
  13. BAPI 创建343物料凭证
  14. python re findall 再次应用
  15. 企业云化应用(SaaS)是未来绝对的趋势
  16. rasa算法_使用 Rasa 构建天气查询机器人
  17. 汇编语言笔记-ARM汇编器伪操作
  18. 【 FPGA 】稳态与亚稳态小结
  19. 作为建筑设计师,这8款渲染软件一定要知道
  20. mysql 函数权限控制_MySql授权和撤销权限操作

热门文章

  1. C#根据IP地址查询所属地区(调用免费的IP查询接口)
  2. 算法练习 Week1
  3. **.Eet Framework win7系统安装失败报 时间戳和日期不符**
  4. C# label換行
  5. string类型转换成LPCTSTR
  6. Linux 最大文件名
  7. Mac上浏览器无法联网的解决方法
  8. SLAM总结(一)- SLAM原理概述与简介
  9. 干净彻底的卸载MySQL
  10. 如何实现可靠UDP传输