内容及要求
完成7人表决电路设计,LED灯表示通过、否决。
(1)开关表示赞成与否,1~8编号(1赞成);
(2)LED显示表决的结果;
(3)数码管显示否决的人数;
(5)工作时钟板上为准;
(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

七人表决器电路是一简单的输入信号检测与处理,显示表决结果信号的电路。本文详细介绍了依据功能要求进行表决器电路方案设计的过程,并在此基础上将整体电路划分为数码管选择模块,赞成与反对人数统计模块,结果显示模块等主要功能模块。实现中采用Verilog HDL描述,ModelSim进行功能仿真,QuartusII进行逻辑综合和适配下载,最后在Altera公司的Clone芯片上实现并完成测试。在此过程中,完整地建立了测试平台,完成了功能和时序仿真,从而保证了设计的功能与时序的正确性。

课设报告及代码截图:

设计代码:
模块一:数码管选择模块
`timescale 1ns/1ns

verilog7人表决电路设计相关推荐

  1. 三人表决电路——Verilog HDL语言

    三人表决电路 任务描述 相关知识 逻辑原理 三人表决器真值表 编程要求 源代码 任务描述 根据所学的组合逻辑及数字电路的知识完成三人表决电路的设计,实现少数服从多数的表决规则,根据逻辑真值表和逻辑表达 ...

  2. vivado三人表决仿真

    概述 下面以三人表决电路的verilog仿真来了解一下vivado软件的使用. 编写源文件 首先可以在开始的界面通过create new project来新建工程,也可以通过file-->pro ...

  3. 【数字逻辑基础】三人表决电路及四舍五入判别电路实验

    实验内容 ❑ 了解元件工具箱中常用的器件的调用.参数选择. ❑ 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能. ❑ 完成四舍五入判别电路(其输入为8421BCD码,要求当输大于或等于5时,判别电路 ...

  4. 用74LS153D画出三人表决电路

    灯泡PROBE 电源VCC 地线GROUND 开关SPDT

  5. 【FPGA】Verilog:组合电路设计 | 三输入 | 多数表决器

    前言:本章内容主要是演示Vivado下利用Verilog语言进行电路设计.仿真.综合和下载的示例:表决器(三人表决器). 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式 ...

  6. 基于modelsim的十个Verilog入门试验程序(1)(7人表决器+算术逻辑单元)—程序+测试代码+波形+结果分析

    内容 实验一:7人表决器的设计 实验二:算数逻辑单元的设计 实验三:JK触发器的设计 实验四:环形计数器的设计 实验五:顺序排列的设计 实验六:二进制除法器的设计 实验七:数字显示频率计的设计 实验八 ...

  7. 用C++写一个三人表决器

    昨天离散数学老师布置了一道编程题: 设计并编程实现一个3人表决开关电路.设三个裁判分别为A.B.C,有两个及以上裁判同意,则通过表决:否则,未通过表决.同意与否通过键盘输入,可以用0.1或T.F表示. ...

  8. FPGA设计开发(基础课题):七人表决器设计

    一.设计目的 1.熟悉MAX+PLUSⅡ.QUARTUSⅡ软件的使用: 2.熟悉EDA/SOPC.FPGA基本结构: 3.熟悉EDA开发的基本流程. 二.设计原理 所谓表决器就是对于一件事,由多个人投 ...

  9. 51单片机七人多数表决器仿真设计( proteus仿真+程序+报告+讲解视频)

    51单片机七人多数表决器仿真设计( proteus仿真+程序+报告+讲解视频) 仿真图proteus 7.8及以上 程序编译器:keil 4/keil 5 编程语言:C语言 设计编号:S0033 51 ...

最新文章

  1. javascript获取和设置URL中的参数
  2. mysql max和order by_mysql – 为什么MAX()比ORDER BY慢100倍… LIMIT 1?
  3. python 计算累计人数_免费Python入门课程,看到就是赚到~
  4. java画个半径为1地圆_java - 绘制一个半径为圆的圆并围绕边缘指向 - 堆栈内存溢出...
  5. go odroid_小众奇葩!Odroid Go Super简评
  6. 2017.10.5 高速公路 思考记录
  7. 【Flink】ERROR - Exception occurred in REST handler: Job xxx not found
  8. Oct22 实例测试
  9. php探针作用,X 探针(刘海探针)一款开源又好用的PHP探针
  10. Java程序员,你必须得知道并发编程概念
  11. 如何寻找计算机领域的英文文献?
  12. WORD安全模式怎么解除?
  13. 干货分享|Contrast essay写作步骤分析
  14. leach c matlab,其实是MATLAB的LEACH协议
  15. POJ 2240 HDU 1217 Arbitrage(Floyd)
  16. 51单片机的键盘检测原理
  17. MongoDB报错 -【已解决】:Error: couldn‘t connect to server 127.0.0.1:27017, connection attempt failed:
  18. python学习面向对象_Python小白必学的面向对象
  19. 前端、后台、客户端以及服务器
  20. Vanish/squid

热门文章

  1. after markup mount - how is converted source code got executed
  2. sap.m.SplitApp
  3. ABAP SOAMANAGER暴露的函数function module,以web service方式执行的运行时细节
  4. Why manually change will not trigger text determination
  5. 什么?在SAP中国研究院里还需要会PHP开发?
  6. SAP权限对象_SAP_APP
  7. SAP ABAP实用技巧介绍系列之使用代码获得user的SAP lock信息
  8. RFC and session issue - why we should use DESTINATION NONE?
  9. Java Thread pool的学习笔记
  10. Eclipse里不同的project,右键选择属性property facet里看到的list 内容是否相同