一、设计目的

1、熟悉MAX+PLUSⅡ、QUARTUSⅡ软件的使用;

2、熟悉EDA/SOPC、FPGA基本结构;

3、熟悉EDA开发的基本流程。

二、设计原理

所谓表决器就是对于一件事,由多个人投票,如果同意的票数过半,就认为此事可行;否则如果否决的票数过半,则认为此事不行。

七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个按键来表示七个人,当对应的按键按下时,输入为“1”,表示此人同意;否则若按键输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则输出‘0’,LED被点亮;否则,如果表决的结果为反对,则输出‘1’,LED不会被点亮。

用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”;输入变量为逻辑“0”时,表示表决者“不赞同”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的七个输入变量中有4个以上(含4个)为“1”时,则表决器输出为“1”;否则为“0”。

三、设计内容

本实验利用EDA/SOPC实验箱中的按键开关模块和LED模块来实现一个简单的七人表决器功能。按键F1~F7表示七个人,当按键按下输入为‘1’时,表示对应的人投同意票,否则当按键输入为‘0’时,表示对应的人投反对票;LED模块中led0表示七人表决的结果,当led0点亮时,表示一致同意,否则当led0熄灭时,表示一致反对。按键F1~F7以及led0与FPGA的引脚连接如下表所示。

芯片引脚

开发平台模块

AC10

LED0

Y11

F1

AA10

F2

AB10

F3

AE6

F4

V22

F5

AF23

F6

AE23

F7

四、设计结果

1、七人表决器源程序:

module VOTER7(a,b,c,d,e,f,g,pass);input a,b,c,d,e,f,g;output pass;reg pass;reg[3:0] count;initial count=0;always@(a,b,c,d,e,f,g)begincount=a+b+c+d+e+f+g;pass=count<4?0:1;//当人数在三人以下是输出1endendmodule

2、仿真波形图:

上图为四人赞同时的表决结果,pass=1,表示通过。

上图为三人赞同时的表决结果,pass=0,表示不通过。

3、管脚约束:

4、下载到试验箱上的结果:

五、注意

在Verilog开发时出现了module命名与.v文件命名不一样会导致编译报错。

FPGA设计开发(基础课题):七人表决器设计相关推荐

  1. (73)FPGA面试题-Verilog实现5人表决器

    1.1 FPGA面试题-Verilog实现5人表决器 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-Verilog实现5人表决器: 5)结束语. 1.1 ...

  2. Android音视频开发基础(七):视频采集-系统API基础

    前言 在Android音视频开发中,网上知识点过于零碎,自学起来难度非常大,不过音视频大牛Jhuster提出了<Android 音视频从入门到提高 - 任务列表>.本文是Android音视 ...

  3. FPGA设计开发(基础课题):分频器设计

    一.设计目的 1.掌握分频器的设计原理: 2.用HDL语言设计分频器. 二.设计原理 分频器与计数器类似,也是要对时钟脉冲进行计数,但其输出的不是对时钟脉冲个数的计数值,而是其频率与时钟的频率成固定比 ...

  4. FPGA平台开发基础

    本篇文章介绍了FPGA平台的使用语言的基本语法,并用一个3-8译码器模块的实例引导嵌入式工程师熟悉FPGA开发的一般流程.FPGA的嵌入式程序最终都会映射成数字逻辑电路,在开发的过程中要保持对实际生成 ...

  5. 什么是UI交互设计 零基础该怎么掌握UI设计

    什么是UI交互设计?零基础该怎么掌握UI设计?众所周知,UI设计是指对软件的人机交互.操作逻辑.界面美观的整体设计,由此可见想要成为一个合格的UI设计师一定要掌握交互设计.值得一提的是UI设计入行门槛 ...

  6. 计算机设计与制作教学设计,计算机应用基础(五年制大专)表格的设计与制作教学设计...

    <计算机应用基础(五年制大专)表格的设计与制作教学设计>由会员分享,可在线阅读,更多相关<计算机应用基础(五年制大专)表格的设计与制作教学设计(8页珍藏版)>请在金锄头文库上搜 ...

  7. java精品课程设计开发_《java语言课程设计》精品课程网站规划和建设.doc

    <java语言课程设计>精品课程网站规划和建设.doc 学科类网络工程学号1101010136学校代码13421密级2015届本科生毕业论文JAVA语言程序设计精品课程网站规划与建设学院信 ...

  8. 游戏开发基础知识——“庆典活动”的设计

    我们经常可以在游戏中见到各种各样的"仪式与活动",比如召唤强大的生物基本上都需要通过专属的"召唤仪式",安抚战争中阵亡将士的亡魂会有祭奠仪式,某一阵营的军队在出 ...

  9. FPGA学习笔记1.1——10人表决器

    设计思路: 十人裁决器,当多于5人,输出为10,等于5人则为00,小于5人则为01:同时可以用sum统计十人的1的数目,进行判定. 原理图: 功能模块代码: module decision_circu ...

最新文章

  1. 论文简述 | 无需校正和不失真的实时变化的鱼眼双目
  2. Linux Kernel TCP/IP Stack — L2 Layer — Traffic Control(流量控制)的实现原理
  3. python【数据结构与算法】搜索初探
  4. GCN代码超详解析Two-stream adaptive graph convolutional network for Skeleton-Based Action Recognition(三)
  5. Learning to rank基本算法小结
  6. 最挑战程序员的9大任务,你都干过哪些?
  7. MATLAB高光谱图像构建KNN图
  8. git clone 一部分_别再往 Git 仓库中放敏感信息了,不安全!
  9. sql XML处理,sp_xml_preparedocument,openxml
  10. python 执行shell 事务_python中执行shell的两种方法总结
  11. JasperReport报表开发(一)--原理介绍
  12. iOS学习之WebView的使用
  13. 构建ASP.NET MVC4+EF5+EasyUI+Unity2.x注入的后台管理系统(6)-Unity 2.x依赖注入by运行时注入[附源码]...
  14. jquery案例15——jq回到顶部
  15. 油管youtube第三方客户端 去广告-TubeMax
  16. 计算机管理里面和打印机相关的,打印机设备
  17. 循环冗余校验码CRC原理与LFSR循环码编码器原理
  18. 计算机的方差符号怎么输入,【2人回答】标准差的符号在电脑上怎么输入?-3D溜溜网...
  19. java监听剪贴板_Java监视系统剪贴板
  20. 干货分享丨HDR 技术产品实践与探索

热门文章

  1. vuex 的理解,vuex 的执行机制
  2. 北京大学 计算机在哪个学院,中国计算机专业最好的大学是哪几个?清华北大哪个最好?...
  3. 5天学会fx-5800计算器测量编程(一)
  4. 巨头瞄准家庭摄像头市场,产品卖点敢不敢多样化点?
  5. TG申请取消禁言教程
  6. 手把手带你完成第一个 android 小程序(快递App)
  7. 我有一台计算机的英语作文,我想拥有一个机器人英语作文
  8. [装机必备] Microsoft To Do – 优秀的清单软件
  9. 哔哩哔哩html5视频失效,WordPress网站直接调用哔哩哔哩自适应视频教程
  10. indexedDB的用法(增删改查,样样俱全)