Verilog 层次化文件设计是通过顶层文件+调用的子模块来完成代码功能的实现。这里的顶层文件可以理解为是实体电路中的连线步骤,而子模块就是电路元件。本文采用文本形式编写顶层文件。

设置顶层文件先打开文件界面显示所有文件,再选择你要设置为顶层文件的文件。

选中后右键点击set as Top-Level Entity即可

顶层文件

module cdkzq(clk,en,Q,codeout); //彩灯控制器
input clk,en;
output [2:0] Q;  //计数器输出
output [6:0] codeout;  //译码器输出
zsr_4253_2_1 a(clk,en,Q);  //调用计数器子模块
zsr_4253_2_2 b(Q,codeout); //调用译码器子模块
endmodule

计数器子模块

module jsq(clk,en,Q);//计数器
input clk,en;   //输入时钟和使能
output reg[2:0] Q;  //计数器输出
always@(posedge clk) //时钟上升沿执行
beginif(en == 1'b1)  //使能端处于高电平自加beginif(Q < 3'd6)Q <= Q + 1'b1;elseQ <= 0;endelseQ <= Q;
end
endmodule

译码器子模块

module zsr_4253_2_2(Q,codeout); //译码器
input [2:0]Q;  //译码器输入接收计数器输出
output reg[6:0]codeout; //译码器输出
always@(Q)
begincase(Q)3'd0:codeout <= 7'b000_0001;  //Q为0   点亮a管3'd1:codeout <= 7'b000_0010;  //Q为1   点亮b管3'd2:codeout <= 7'b000_0100;  //Q为2   点亮c管3'd3:codeout <= 7'b000_1000;  //Q为3   点亮d管3'd4:codeout <= 7'b001_0000;  //Q为4   点亮e管3'd5:codeout <= 7'b010_0000;  //Q为5   点亮f管3'd6:codeout <= 7'b000_0000;  //Q为6   不亮default:codeout <=7'b000_0000;endcase
end
endmodule

Verilog 层次化文件设计——彩灯控制器相关推荐

  1. 数电实验4:彩灯控制器设计

    数电实验4:彩灯控制器设计 一.实验目的 二.实验内容 三.预习要求 四.实验报告要求 五.Verilog代码.RTL视图及仿真波形 1.Verilog代码 2.RTL视图 3.仿真波形 西南交大数电 ...

  2. 基于VHDL的可变速彩灯控制器的设计

    使用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次.应充分利用VHDL"自顶向下"的设计优点以及层次化的设 ...

  3. 【分享】基于单片机嵌入式的家用智能节水淋浴控制器的设计-基于单片机的电子贺卡控制系统设计-基于单片机的倒计时牌控制系统设计-基于单片机的彩灯控制器系统设计-多模式彩灯-单片机的八路路数字电压表控制设计

    1334基于单片机嵌入式的家用智能节水淋浴控制器的设计-全套毕设课设设计资料 三个ds18b20分别采集进水口热水  冷水 和温水的水温,然后分别显示出来,按下开始按键,系统初始化,自动设置出水温度为 ...

  4. 基于单片机节日彩灯控制器系统设计、基于单片机脉搏检测和体温检测设计、基于单片机可燃气体检测及报警系统、基于单片机可调八路抢答器仿真设计-全套设计资料

    1425基于单片机节日彩灯控制器系统设计-protues仿真资料 以单片机为核心,设计一个节日彩灯控制器: l  K1-开始,按此键则灯开始流动(由上而下). l  K2-停止,按此键则停止流动,所有 ...

  5. 基于VHDL语言的8路彩灯控制器的设计_kaic

    摘  要 伴随着我国电子科学技术的发展,彩灯越来越多地被融入到现代生活中的各式各样的景观中,彩灯作为一种装饰生活的观赏工具,不仅满足了人们视觉上的享受和娱乐,同时受关注的还有彩灯的花式花样.创意.节能 ...

  6. c语言设计四路彩灯显示系统,四路彩灯控制器设计方案.doc

    四路彩灯控制器设计方案 1 前言 1.1序言 随着经济的发展,城市之间的灯光系统花样越来越多,用中规模集成电路设计并制作一个四路彩灯显示系统,可用于节日庆典,医院病房等多处地方,同用单片机控制相比,它 ...

  7. 彩灯控制器课程设计vhdl_VHDL花样彩灯控制器的设计

    10 分 得 分 一.实验名称 实验 8 :花样彩灯控制器的设计 二.任务及要求 [基本部分] 5 分 1 .在 QuartusII 平台上,采用文本输入设计方法,通过编写 VHDL 语言程序,设计一 ...

  8. 单片机C语言节日彩灯,单片机c语言节日彩灯控制器设计.docx

    单片机c语言节日彩灯控制器设计 湖南涉外经济学院课程设计报告课程名称:单片机原理及应用报告题目:节日彩灯控制器的设计学生姓名:所在学院:信息科学与工程学院专业班级:电子信息工程1102班学生学号:指导 ...

  9. 彩灯控制器课程设计vhdl_基于VHDL的彩灯控制器设计与实现毕业设计(DOC毕业设计论文)...

    基于VHDL的彩灯控制器设计与实现毕业设计(DOC毕业设计论文) <计算机组成原理>课程设计报告目 录任务书 .2摘 要 .5Abstract .61 引 言 71.1VHDL 技术介绍7 ...

  10. LED彩灯控制器设计 51单片机 流水灯 PROTEUS 仿真

    课程设计题四:LED彩灯控制器设计 要求: 1.至少10个发光管4种花样自动变换,循环往复. 2.彩灯花样变换的快慢节拍可以手动和自动方式控制,手动控制按钮按一次转换一次:自动控制方式每15秒变换一次 ...

最新文章

  1. Big Data Exchange宣布在南京建设数据中心
  2. 大数据视觉智能实践及医学影像智能诊断探索(讲座精华笔记)
  3. MySQL成勒索新目标,数据服务基线安全问题迫在眉睫
  4. Web Service和SOAP以及HTTP的关系?
  5. ASP.NET中GridView数据导出EXCEL小结
  6. MS SQL入门基础:管理触发器
  7. mysql 连接 监控_监控mysql上客户端的连接数
  8. java处理图片base64编码的相互转换
  9. python定义矩阵
  10. mysql日期格式转换_MySQL日期格式转换
  11. 20200131每日一句
  12. 五种经典网页布局设计
  13. java print打印方法
  14. LPDDR4协议规范之 (六)刷新
  15. 白鹭引擎 android9,【安卓】手把手教你Egret引擎一键发布华为快游戏
  16. 优秀课程案例:使用Scratch制作飞机大战游戏!
  17. 2023年重庆邮电大学计算机科学与技术(802)初试经验贴
  18. 商业智能下,金融行业如何利用起来?
  19. 计算机学院嘉年华标题,“芯动盛夏 AI创南航” 计算机学院举办第八届计算机嘉年华...
  20. matlab加速度转化为位移,科学网—Matlab编写由加速度积分得到速度和位移函数 - 王德才的博文...

热门文章

  1. ffmpeg 结合 ASS字幕制作+特效制作
  2. java进制转换工具(图形界面版)
  3. Origin安装Could not connect to Internet Origin installation requires an Internet Connection
  4. 如何查找孔与轴配合的公差带表
  5. matlab如何修改图例的颜色,在MATLAB图例中设置线条颜色?
  6. matlab点扩散函数代码,点扩散函数(Point Spread Function)
  7. FlashFXP 4.0注册码key 及教程
  8. Easy EDA:在线创客软件服务是未来的趋势 | 雷锋网
  9. 移动领先100笔试面试经验
  10. 超详细的免费下载论文方法