vhdl入门2——ise制作一位全加器
vhdl入门2——ise制作一位全加器
先写一下vhdl的,先做一个两输入或门,再做一个半加器,在连接形成一个1位全加器
下边的命名有误:quanjiaqi1——一位全加器,quanjiaqi0——半加器,quanjiaqi——或门。
--ujs-lili
--这个做的是半加器
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity quanjiaqi0 is --定义实体port ( a,b : in std_logic; --定义端口co,so: out std_logic);
end quanjiaqi0;
architecture Behavioral of quanjiaqi0 is
beginso <= (a or b) and (a nand b);co <= not(a nand b);
end Behavioral;```--这个做的是或门
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity quanjiaqi isport(a,b :in std_logic;c : out std_logic);
end quanjiaqi;
architecture Behavioral of quanjiaqi is
beginc <= a or b ;
end Behavioral;--做一位全加器
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity quanjiaqi1 is
port( ain,bin,cin : in std_logic;cout,sum : out std_logic);
end quanjiaqi1;
architecture Behavioral of quanjiaqi1 iscomponent quanjiaqi --这里就是使用之前做好的原器件了port(a,b :in std_logic; --这个是之前元器件的端口申明c: out std_logic);end component;component quanjiaqi0port ( a,b : in std_logic;--同上co,so: out std_logic);end component;signal d,e,f : std_logic; --这个是中间信号,就是每个元器件的端口刚出来接到的地方,用的是位矢量
beginu1: quanjiaqi0 port map( a => ain,b=>bin,co=>d,so=>e); --这个就是硬件的连接了u2: quanjiaqi0 port map( a => e,b=>cin,co=>f,so=>sum);u3: quanjiaqi port map(a =>d,b=>f,c=>cout);
end Behavioral;--仿真程序:
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY quanjiaqi1_tb IS
END quanjiaqi1_tb;
ARCHITECTURE behavior OF quanjiaqi1_tb IS COMPONENT quanjiaqi1PORT(ain : IN std_logic;bin : IN std_logic;cin : IN std_logic;cout : OUT std_logic;sum : OUT std_logic);END COMPONENT;signal ain : std_logic := '0';signal bin : std_logic := '0';signal cin : std_logic := '0';--Outputssignal cout : std_logic;signal sum : std_logic;
BEGIN -- Instantiate the Unit Under Test (UUT)uut: quanjiaqi1 PORT MAP (ain => ain,bin => bin,cin => cin,cout => cout,sum => sum);processbegin --起初忘记写beginain <= '0';bin <= '0';cin<='0'; --这地方是“;”wait for 100ns;ain <= '0';bin <= '0';cin<='1';wait for 100ns;ain <= '0';bin <= '1';cin<='0';wait for 100ns;ain <= '0';bin <= '1';cin<='1';wait for 100ns;ain <= '1';bin <= '0';cin<='0';wait for 100ns; end process;
END;
下面看一下仿真图:
感兴趣可以和真值表对比一下:是正确的。
再看一下原理图:
vhdl入门2——ise制作一位全加器相关推荐
- 一位全加器 VHDL设计与实现
作者:chenjieb520 一.设计目的 熟悉Quartus II的VHDL文本设计流程全过程,学习组合电路的设计,仿真和测试. 二.设计内容 设计一位全加器,给出程序的设计.软件编译.仿真分析.硬 ...
- 用行为级描述方式实现一个加法器电路(基于ISE的设计)(2输入1位全加器电路)
准备 先用行为级描述方式实现一个2输入一位全加器电路 由于后面需要使用综合工具进行综合,这里先声明使用的FPGA是Virtex-7系列的: 目的很单纯,就是熟悉一下使用ISE进行FPGA设计的一般流程 ...
- 原理图以及vhdl设计一位全加器
原理图设计以及VHDL设计 一位加法器 全加器原理 全加器真值 输出表达式 原理图设计法 VHDL设计法 代码如下: 全加器是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器.一位全加器 ...
- FPGA(2)--例化语句--1位全加器
文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 一.实验目的 熟悉元件封装方法,掌握层次化电路设计方法:掌握VHDL例化语句的设计方法. 二.实验内容 1.用VHDL语言设计1 ...
- 一位全加器及四位全加器————FPGA
文章目录 前言 一.一位全加器 1.一位全加器的原理图设计 2.一位全加器的Verilog编程 3.上板效果 二.四位全加器 1.四位全加器的原理图设计 2.四位全加器的Verilog 编程 三.总结 ...
- 在Verilog语言中,使用门级建模设计一个由1位全加器组成的4位全加器
4位全加器的门级建模 Verilog语言的层级 在Verilog硬件描述语言中,我们可以分许多层次对电路进行描述,每一层都有自己的特点.层次分为开关级.门级.数据流级.行为级.我们不能说必须使用哪一种 ...
- FPGA实现1位全加器设计
文章目录 一.基础知识 1. 半加器 2. 全加器 二.原理图实现1位全加器 三.Verilog实现1位全加器 四.参考
- 试用一片3-8译码器74LS138和其它必要的门电路设计一个一位全加器, 被加数为Ai, 加数为Bi, 低位来的进位为Ci-1, 本位和为Si, 本位对高位的进位为Ci
(1)根据题目的描述, 列出真值表. 一位全加器真值表 Ai Bi Ci-1 Si Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 ...
- FPGA——1位全加器和4位全加器的实现
目录 一.认识全加器 1.半加器 2.1位全加器 3.4位全加器 二.使用原理图实现1位加法器 1.原理图实现半加器 2.半加器元件实现全加器 三.使用原理图实现4位加法器 四.Verilog HDL ...
- FPGA入门:QuartusⅡ实现半加器,全加器,四位全加器
文章目录 一.半加器和全加器简介 1.1 半加器 1.2 一位全加器 二.原理图实现半加器与全加器 2.1 半加器 2.1.1 创建项目 2.1.2 原理图设计半加器 2.1.3 半加器波形仿真 2. ...
最新文章
- 《深入理解计算机系统》读书笔记六:整数表示
- 数据结构实验4:C++实现循环队列
- 重磅!《Android 全埋点技术白皮书》开源所有项目源码!
- python 数学建模、时间戳_python-在matplotlib中绘制Unix时间戳
- Linux环境下的Popush部署——张凯
- 白话地图投影之初识地球
- python调用窗口找到文件,使用Python在Mac OS X中查找当前活动窗口
- HashMap的工作原理及其相关的知识点
- spring3,上传文件ApplicationHttpRequest cannot be ca...
- VirtualBox上编译android遇到共享文件夹引起的问题
- android 敏感词过滤器,android 过滤敏感词主要类
- 黑马程序员前端微信小程序开发教程,微信小程序从基础到发布全流程_企业级商城实战(含uni-app项目多端部署---b站视频跟学
- python登录微信pc版_微信PC版内测更新,又增加2个实用功能
- 基于 AWS 的一站式分布式数据库测试体系,简单易上手|TiDB Hackathon 2020 优秀项目分享
- 怎么批量重命名文件夹?
- 信息科技岗笔试笔记:互联网大厂笔试基础题目(三)
- 创造单词量测试项目(带有Ajax的爬虫)
- 世界四大红茶及中国十大名茶
- 【SLAM学习笔记4】卡方检验chi-square
- php curl发布微博,php curl利用嘀咕、搜狐微博API发布微博