整理时间:2021-03-08

前言:

FPGA型号:XC7Z020-CLG400
vivado版本:2019.1
安装好vivado开发工具,拥有了FPGA开发硬件平台。

本文目录:
1.建立工程
2.添加文件
-2.1 添加.v文件
-2.2 添加约束xdc文件
3. 综合
4. 烧写
-4.1 烧写bit 文件
-4.2 烧写mcs文件

正文:
本文通过一个简单的“流水灯”案例,旨在了解整个开发流程。
步骤如下:
1.建立工程
*

*

*

*

*

*

*

2.添加文件
-2.1 添加.v文件
添加source design文件(设计文件)

*

*

*

代码如下:

`timescale 1ns / 1ps
//
// Company:
// Engineer:
//module run_led(input CLK_i,input RSTn_i,output [3:0]LED_o
);
reg [3:0]LED_o;
reg [31:0]Cnt;
always @(posedge CLK_i )if (!RSTn_i)//复位begin LED_o<=4'b1;end
elsebeginif (Cnt==32'd5000_000_000)beginCnt<=32'd0;if(LED_o==4'b1000)beginLED_o<=4'b0001;endelse  begin  LED_o<=LED_o<<1'b1;endendelsebeginLED_o<=LED_o;endendendmodule

-2.2 添加约束xdc文件

上述代码中,对应FPGA硬件6个管脚。具体对应哪个管脚需要在硬件原理图中确认好。
时钟clk管脚

复位rst_n管脚
随便选择1个复位按键

led管脚

*

*

*

约束文件如下:

#vivado管脚约束XDC文件
#FPGA_CLK
set_property PACKAGE_PIN H16 [get_ports CLK_i]
set_property IOSTANDARD LVCMOS33 [get_ports CLK_i]
#rst_n
set_property PACKAGE_PIN T19 [get_ports RSTn_i]
set_property IOSTANDARD LVCMOS33 [get_ports RSTn_i]
#LED_o
set_property PACKAGE_PIN J16 [get_ports {LED_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_o[3]}]
set_property PACKAGE_PIN K16 [get_ports {LED_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_o[2]}]
set_property PACKAGE_PIN G15 [get_ports {LED_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_o[1]}]
set_property PACKAGE_PIN H15 [get_ports {LED_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_o[0]}]#set_property CONFIG_MODE <configuration_mode> [current_design]
set_property BITSTREAM.CENERAL.COMPRESS TRUE [current_design]
set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]

3. 综合与实现
综合:

实现:

一切顺利,结果如下:

4. 烧写至FPGA硬件
- 4.1 烧写bit 文件
bit 文件用于调试,掉电后丢失。
- 4.2 烧写mcs文件
mcs文件用于固化逻辑,将程序烧写至FPGA的配置flash中,掉电后不会丢失。


THE END~

【MATLAB-app】系列教程(含视频)00_csdn上第一套关于matlab appdesigner系列“视频课”来啦~~

【图像算法&MATLAB】一文讲明白:图像的直方图均衡化(附作者自编源代码)

【探测器与相机标准】我对图像(图像传感器/相机)“非均匀性”的理解与学习笔记

【FPGA】vivado使用(led流水灯实例,附图,详细)相关推荐

  1. 高云FPGA初体验-LED流水灯实验

    高云FPGA初体验-流水灯实验 硬件环境 操作步骤 创建工程 添加设计文件 添加约束文件 编译工程 下载运行 资源链接 硬件环境 开发板:高云 DK_START_GW2A55-PG484_V1.3 开 ...

  2. 【LabVIEW FPGA图形化】 ngc、edf网表文件的编写:LED流水灯

    [LabVIEW FPGA图形化] ngc.edf网表文件的编写:LED流水灯 文章目录 前言 一.什么是FPGA图形化设计 二.为什么要学习FPGA图形化开发? 三.Xilinx Spartan-6 ...

  3. FPGA零基础学习:LED流水灯设计

    FPGA零基础学习:LED流水灯设计 本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的"傻瓜式"讲解,让电子.信息.通信类专 ...

  4. FPGA控制LED流水灯

    FPGA实验二:LED流水灯 ##一.实验目的1.学习LED闪烁原理:2.学习Nexys4-DDR处理器控制LED的闪烁:3.学习如何实现分频. 二.实验要求1.基本:编写单个LED闪烁的代码并下载至 ...

  5. FPGA 学习笔记:Verilog 实现LED流水灯控制

    前言 在初步了解 Xilinx Vivado 的使用后,开启了FPGA Hello World 程序:LED 流水灯控制 在嵌入式MCU中,流水灯需要延时来实现,FPGA的延时,使用外部晶振来实现 目 ...

  6. 流水灯实例,点亮发光管LED并闪烁(查表操作)

    功能:流水灯实例,点亮发光管LED并闪烁

  7. FPGA第一天的学习-LED流水灯

    FPGA第一天的学习-LED流水灯 每一次玩一块新的开发板我们都会首先做出一个流水灯,所以我也做出一个流水灯并且结合网上教程和自己的理解来试着将代码理解得更透彻. 1.硬件部分 由原理图我们可以知道时 ...

  8. 【小月电子】ALTERA FPGA开发板系统学习教程-LESSON3 LED流水灯

    LED流水灯例程讲解 若要观看该博客配套的视频教程,可点击此链接 开发板实物图 图1.FPGA设计流程 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去 ...

  9. 【小月电子】安路国产FPGA开发板系统学习教程-LESSON3 LED流水灯

    LED流水灯例程讲解 若要观看该博客配套的视频教程,可点击此链接 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤.比如非常简单的项目,我们 ...

最新文章

  1. matlab gui数据类型,MatLab GUI 数据传递
  2. ArcSDE建Table在ArcCatalog中不可见
  3. java.util.NoSuchElementException: No value present
  4. js正则贪婪模式_JavaScript正则表达式迷你书之贪婪模式-学习笔记
  5. C#——《C#语言程序设计》实验报告——泛型与集合——运算符重载
  6. centos安装 TA-Lib
  7. Synergy 一套键鼠同时控制多台电脑的神器
  8. ng-template和对应生成的注释
  9. windows程序消息机制(Winform界面更新有关)
  10. module ‘urllib‘ has no attribute ‘unquote‘(url解码)
  11. Filecoin网络目前总质押量约为4110万枚FIL
  12. 扩展 delphi 泛型 以实现类似lambda功能 , C#中的any count first last 等扩展方法
  13. PyTorch学习—14.PyTorch中的学习率调整策略
  14. 使用回溯算法结合递归树+备忘录解决01背包问题
  15. fork的写时复制1
  16. 关于STM8L系列低功耗井盖板设计记录【云南昆明电子设计开发工程师】
  17. Tsi721芯片驱动代码使用说明
  18. 程序员加班面临的问题
  19. 登录管理(权限管理) token
  20. Keil编译警告汇总(持续更新。。。)

热门文章

  1. RGB转8色16色256色
  2. 排名前100的Android开源库
  3. 最后一战——回顾 NOIP 2021
  4. 注册表查看计算机硬件,计算机硬件注册表修改实例(一)
  5. Java集合源码系列(1)---- ArrayList详解
  6. 5款替代微软Visio的开源免费软件
  7. java自定义配置文件_基于java读取并引用自定义配置文件
  8. sublime text3 多窗口打开设置
  9. 离散数学复习总结 第一章 命题逻辑的基本概念
  10. 突破百度文库等文件复制的限制