这是转载大佬的,也可以移植到其他开发板上。

Vivado Turtorial 01 —— 使用vivado中debug功能(类似ISE中ChipScope)

1.基于BASYS3板子,有如下代码:

module top(input        clk,input        rst,output       test_clk   );parameter DIV_CNT = 2;reg clk25M;
reg [31:0] cnt = 0;
always@(posedge clk)beginif (cnt==DIV_CNT-1)beginclk25M <= ~clk25M;cnt <= 0;endelsebegincnt <= cnt + 1'b1;end
end
assign  test_clk = rst ? 1'b0 : clk25M;

管脚配置XDC文件内容如下:

set_property PACKAGE_PIN W5 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property PACKAGE_PIN V17 [get_ports rst]
set_property IOSTANDARD LVCMOS33 [get_ports rst]
set_property PACKAGE_PIN L1 [get_ports test_clk]
set_property IOSTANDARD LVCMOS33 [get_ports test_clk]

2.占击左侧Run Synthesis,综合

Picture

3.完成之后,再点击 Open Synthesized Design,打开之后,点Tools下的Set Up Debug...

Picture

如下

Picture

4.选择Find Nets to Add...

Picture

5.点OK

Picture

6.选中想要观察的信号,点OK

Picture

7.出现红色,在红色地方右键。

Picture

8.选择Select Clock Domain

Picture

9.选择ALL_CLOCK,然后选择clk_IBUF或clk_IBUF_BUFG

Picture

10.选择合适的采集深度,1024通常够用

Picture

11.Finish

Picture

12.点击左侧的Generate Bitstream

Picture

13.完成后,点Open Hardware Manager

Picture

14.将板子连接到电脑上,然后Open Target -> Auto Connect

Picture

15.在xc7a35t上面,右键->Program Device...

Picture

16.Program

Picture

17.点击Trigger

Picture

18.会自动弹出波形

Picture

19.此时,只有test_clk信号,没有rst信号。在Debug Probes区域中,rst_IBUF上右键

Picture

20.Add Probes to Wave Form

Picture

21.即可看到rst也在波形中了,添加其它信号类似

Picture

22.鼠标点住rst_IBUF,拖拽到图中区域放开鼠标

Picture

23.rst_IBUF信号会出现在框中

Picture

24.点开Compare Value下拉菜单,设置如下,点击OK

Picture

25.把BASYS3板子上的SW0,拔到上面。点击Run Trigger按钮

Picture

26.注意到这里应该显示Wait...

Picture

27.此时,在板子上,把SW0拔下来。注意到,这里会一闪而过Full,然后又显示Idle。如果没观察到,可以从25步骤再重来

Picture

28.再打开波形,如下图

Picture

29.回到这个界面,将Trigger Position 设置为500。再重复24-28步骤。然后再观察波形中,第500个周期,波形前后数据的变化

Picture

作者:Craftor
链接:https://www.jianshu.com/p/aaae0524877e
來源:简书
著作权归作者所有。商业转载请联系作者获得授权,非商业转载请注明出处。

Vivado Turtorial 01 —— 使用vivado中debug功能(类似ISE中ChipScope)相关推荐

  1. php中empty功能,在php中empty函数起什么作用呢?

    摘要: 下文讲述php中empty()函数的功能简介说明,如下所示: php之empty()函数功能 php之empty函数: empty函数的功能 检测一个变量是否为空 当一个变量不存在时或值为空都 ...

  2. java除了android,Java中是否有类似android中的android.os.Handler的类?

    没有一个默认.但是你可以让自己的自定义类来做类似的事情. 我做了一个这样的: import java.util.ArrayList; public class Handler extends Obje ...

  3. SQL Server中的功能和存储过程比较

    介绍 (Introduction) In a previous article, Functions vs stored procedures in SQL Server, we compared F ...

  4. ISE中UCF约束文件的编写

    ISE 约束文件的基本操作 1.约束文件的概念 FPGA设计中的约束文件有3类:用户设计文件(.UCF文件).网表约束文件(.NCF文件)以及物理约束文件(.PCF文件),可以完成时序约束.管脚约束以 ...

  5. js中innerHTML和innerText jQuery中html()和text()的区别

    js中innerHTML和innerText的区别 innerHTML innerHTML  会将标签和标签中的内容否获取回来 innerHTML  .innerHTML = '<p>&l ...

  6. Vivado中debug用法

    Vivado和ISE相比ChipScope已经大幅改变,很多人都不习惯.在ISE中称为ChipScope而Vivado中就称为in system debug.下面就介绍Vivado中如何使用debug ...

  7. 【Vivado那些事儿】VIVADO中时序报告中WNS,WHS,TNS,THS含义

    VIVADO中时序报告中WNS,WHS,TNS,THS含义 运行"report_timing"或"report_timing_summary"命令后,会注意到 ...

  8. 【Vivado那些事】Vivado中常用的快捷键(二)其他常用快捷键

    主要包括Ctrl+Q键.Ctrl+E键.F6键.F1键. Ctrl+Q键 Ctrl+Q键用于显示或者隐藏导航栏(Flow Navigator),如下图所示,在需要以更大视图显示其他窗口时是很方便的. ...

  9. 【Vivado那些事儿】Vivado中运行时出现visual c++运行错误的解决办法

    Vivado中运行时出现visual c++运行错误的解决办法 Win10系统中运行Vivado一直好好的,某一天突然出现visual c++运行错误,如下图所示: 修复也没什么作用,卸载重装是同样的 ...

最新文章

  1. 华为应用市场 AppGallery Connect 研习社·直播:百万开发者打造优质应用的奥秘
  2. 2016年大数据Spark“蘑菇云”行动代码学习之AdClickedStreamingStats模块分析
  3. (三) 类图和对象图
  4. 爬虫python代码广告_零基础掌握百度地图兴趣点获取POI爬虫(python语言爬取)(代码篇)...
  5. Java黑皮书课后题第3章:*3.34(几何:线段上的点)编程练习题3.32显示了如何测试一个点是否在一个无限长的直线上。修改3.32测试一个点是否在一个线段上。编写程序,输入三个点坐标,显示在否线段
  6. JDBC(二)驱动程序类型发展历程
  7. [转载]ns2在linux安装过程
  8. codeblocks下libcurl的配置
  9. 加拿大上市公司Vinergy更新投资政策 将涉及比特币数字货币等投资
  10. java 26进制转10进制_求将任意10进制数字转为26进制字母的算法
  11. Qt之QThread基本用法
  12. 游戏思考06:游戏核心逻辑设计—战斗系统基础及进阶(未完待续,12/14)
  13. 因果图法测试中国象棋马
  14. 对于如何长胖和减肥的研究
  15. 联通光猫桥接、路由拨号设置踩坑
  16. jQuery 选择器 选取 class 为 intro 的 p 元素
  17. 如何快速书写文件的相对路径和绝对路径
  18. Java程序中操作Word表格
  19. 格力蒸发式冷风扇开机鸣响故障的修复
  20. 高并发学生选课系统设计

热门文章

  1. 一个完整的react-native框架代码demo,供大家下载学习
  2. java heritrix_「heritrix」开源爬虫: Heritrix 3.1 Windows 上安装/使用 - seo实验室
  3. python循环控制--for-else循环
  4. CPU虚拟化的三种技术
  5. CSRF和SSRF详解
  6. 前端学习路线图--陆神版本思维导图
  7. 使用OpenStreetMap,Hot export tool,OSMNX下载地图资源
  8. MATLAB/Simulimk 低压用户型电能路由器仿真模型(光伏发电+储能+逆变孤网运行)
  9. Ubuntu运行vmware时报错Vmware Unable to install all modules!Use shipped Linux kernel AIO access library解决。
  10. 【有利可图网】PS实战系列:巧用PS设计制作超酷的马赛克拼图效果