设计具有一下输入和输出的1-12计数器:

Reset同高电平有效复位,强制计数器为1

Enable高电平计数器运行

Clk正边沿触发时钟输入

Q[3:0]计数器输出

c_enable,c_load,c_d[3:0]分别控制count4的使能、负载和d输入的信号

module top_module (input clk,input reset,input enable,output [3:0] Q,output c_enable,output c_load,output [3:0] c_d
); //wire load;assign c_load = load;assign c_enable = enable;assign c_d = 1;always@(*)beginif(Q==12&enable)beginload = 1; endelse beginload = reset; endendcount4 the_counter (clk, c_enable, load, c_d ,Q/*, ... */ );endmodule

主要问题在于不清楚给的count4具体接口功能,有空来看看?

HDLBits—Exams/ece241 2014 q7a相关推荐

  1. Verilog刷题HDLBits——Exams/ece241 2014 q7a

    Verilog刷题HDLBits--Exams/ece241 2014 q7a 题目描述 代码 结果 题目描述 Design a 1-12 counter with the following inp ...

  2. 【小罗的hdlbits刷题笔记2】补码运算中溢出的问题(Exams/ece241 2014 q1c)

    关于补码运算中进位溢出的问题及延伸,hdlbits中Exams/ece241 2014 q1c给出了很好的解释,首先来看问题: Assume that you have two 8-bit 2's c ...

  3. Exams/ece241 2014 q4经验

    总结:模块命名注意不能与内置模块同名 Given the finite state machine circuit as shown, assume that the D flip-flops are ...

  4. HDLBits Exams/ece241 2013 q4 水库题

    题目和简述 题目内容翻译: 大型水库可为多个用户提供服务.为了使水位足够高,三个传感器以5英寸的间隔垂直放置.当水位高于最高传感器(S3)时,输入流量应为零.当液位低于最低传感器(S1)时,流量应最大 ...

  5. Exams/ece241 2014 q5b_HDLbits

    1.The following diagram is a Mealy machine implementation of the 2's complementer. Implement using o ...

  6. HDLbits Exams/2013 q2bfsm verilog fpga

    设计一个有限状态机用来控制某种类型电机. FSM有来自电机的输入信号x和y,并产生控制电机的输出信号f和g. 还有一个时钟输入叫clk和一个低有效的复位信号resetn. FSM的工作流程如下. 只要 ...

  7. verilog练习:hdlbits网站上的做题笔记(5)

    前言 之前的文章<如何学习verilog,如何快速入门?>中提到了verilog学习,推荐了一个可以练习的网站:hdlbits网站,那自己也玩玩这个网站. 这篇文章,是接着<veri ...

  8. HDLbits 刷题答案practice——Counters

    目录 3.2.2.1 Four-bit binary counter(Count15) 3.2.2.2 Decade counter(Count10) 3.2.2.3 Decade counter a ...

  9. verilog练习:hdlbits网站上的做题笔记(6)

    前言 之前的文章<如何学习verilog,如何快速入门?>中提到了verilog学习,推荐了一个可以练习的网站:hdlbits网站,那自己也玩玩这个网站. 这篇文章,是接着<veri ...

  10. verilog学习 | HDLBits:在线学习答案

    HDLBits 在提供 Verilog 基础语法教程的同时,还能够在线仿真 Verilog 模块. 以下是各单元解法答案.希望可以帮助您了解 Verilog 的工作原理. 前言 HDLBits 在提供 ...

最新文章

  1. 同步等待 异步等待_异步/等待和承诺的解释
  2. SlidingMenu(一)
  3. oracle中常见ck和fk是什么,Oracle常用知识总结
  4. 【Android】Eclipse JNI开发
  5. 三角形 画_CAD入门基础第3节:直角三角形的圆及如何修剪
  6. node服务成长之路
  7. tp5怎么生成短链接_请问在tp5中怎样才能使用url函数?
  8. Petya and Staircases CF212div.2B
  9. 哪些事情瞬间暴露了你的贫穷?
  10. C#开发MySQL数据库程序时需要注意的几点
  11. Windows 8 Consumer Preview
  12. delphi linux arm_诺基亚N900搭载完整linux系统的小众智能手机
  13. grandMA2 onPC 3.1.2.5的DMX参数摸索
  14. SQL- join多表关联
  15. Joint 3D Proposal Generation and Object Detection from View Aggregation论文学习
  16. 商品进销差价_商品进销差价如何计算及账务处理怎么做?
  17. springboot版本导致Mabatis-Plus报错
  18. [转载]Swift GYB
  19. 以树莓派为控制中心的软硬件之开发之脑电波模块
  20. vuepress-theme-reco评论管理

热门文章

  1. Centos7安装源地址
  2. 十年磨一剑:大众凭借电池的革命性突破超越特斯拉
  3. Django(wsgi,middleware,url源码剖析)
  4. 2020 年你读了哪些觉得比较好的计算机书籍?
  5. CST微波工作室学习笔记1 概述
  6. 能看清农村房子的卫星地图软件
  7. Windows 取证
  8. html怎么在图中加字,用HTML代码在图片上加字
  9. Computer - 设置电脑眼睛保护色
  10. 计算机广告制作介绍,计算机广告制作.ppt