如何存储关键数据的方法,属于规模测试验证的手段,但对于Verilog的调试过程还不够直观,因为无法确切地了解Verilog代码仿真中各个关联信号是如何作用的。Verilog的确可以像C++/C++一样启动调试模式,针对每行代码进行调试。但请注意,由于Verilog是并行执行的,而仿真是采用delta时间逐步并行推进的,采用代码调试较为困难,所以常常需要存储全部或部分仿真数据,这就是波形文件。

在进行FPGA开发的过程中,稍微大一点的项目,进行一次编译综合与布线是非常耗时的,所以在开发的过程中一般采取先进行功能仿真,功能仿真结果正确无误以后再进行综合和布局布线。一般来说,先添加好时钟约束,进行综合与布线时无严重警告,此时如果功能仿真(前仿)仍然正确,那么任务就基本完成了。但如果是一个比较完整的项目开发,应该还需要进行布线后的时延仿真(后仿)。这里,主要介绍如何将前仿和后仿的时序波形图保存以及再次打开上一次的仿真结果。

相关文件说明

1.波形数据库文件(.WDB),其中包含所有的仿真数据。

2.波形配置文件(.WCFG),其中包含于波形配置文件中的对象相关联的顺序和设置

在保存.WCFG文件之前,对波形配置的修改(包括创建波形配置或添加HDL对象)不是永久性的,可以通过File->SaveWaveformConfigurationAs将波形配置保存下来。波形数据库文件(.WDB)包含了波形配置文件中所有信号的仿真数据,单个.WDB可以对应多个.WCFG文件,可以通过打开.WDB文件查看上一次保存下来的仿真波形。

具体的保存与读取

保存

1.将需要观测的信号拉倒图形窗口界面

2.设置仿真时间

3.保存.WCFG波形配置文件到指定路径

4.保存.WDB仿真波形。为了能将波形数据保存下来,需要在测试代码中加上如下代码,放在最末端即可。为了下一次仿真不影响已保存的仿真波形,建议将.WDB文件拷贝并修改名字。

`definedump_level10

//moduledump_task;

initialbegin#1;//延迟1ns记录,方便与其他仿真动作协调

`ifdefVCS_DUMP//SynopsysVCD+格式存储

$display("StartRecordingWaveforminVPDformat!");

$vcdpluson();

$vcdplustraceon;

`endif

`ifdefFSDB_DUMP//Synopsysfsdb格式存储

$display("StartRecordingWaveforminFSDBformat!");

$fsdbDumpfile("dump.fsdb");

$fsdbDumpvars('dump_level);

`endif

`ifdefNC_DUMP//cadence格式存储

$recordsetup("dump","version=1","run=1","directory=.");

$recordvars("depth=6");

`endif

`ifdefVCD_DUMP//工业标准VCD格式存储

$display("StartRecordingWaveforminVCDformat!");

$dumpfile("dump.vcd");

$dumpvars('dump_level);

`endif

end

5.运行仿真(前后仿的.WDB会自动保存到.sim/sim_1/下的三个路径之一,与仿真类型有关)。

读取

1.点击Vivado的菜单栏中的Flow->OpenStaticsimulation,然后选中之前保存的.WDB文件即可。

2.点击Vivado的菜单栏中的File->OpenWaveformConfiguration,选择我们之前保存的.WCFG文件即可恢复上一次的仿真结果

总结

时序仿真波形的保存与读取在大工程的功能仿真、时序仿真、以及问题分析中,可以为开发者节约很多时间。当一个项目比较复杂时,跑一次仿真耗时会非常长,尤其是需要进行后仿时,耗时比综合和布局布线更长,所以我们最好是将时序波形保存下来进行分析,可以避免浪费许多不必要的时间。

vivado保存波形图_FPGA开发:Vivado时序波形图保存和读取相关推荐

  1. FPGA开发第一弹:Vivado软件安装、开发使用与工程建立

    FPGA开发第一弹:Vivado软件安装.开发使用与工程建立 文章目录 FPGA开发第一弹:Vivado软件安装.开发使用与工程建立 软件安装 工程建立(软件使用) 新建工程 设计输入 功能仿真 创建 ...

  2. vivado 如何创建工程模式_基于Vivado的FPGA高性能开发研修班2019年8月30日上海举行...

    一.课程介绍: 从7系列FPGA开始,Xilinx提出了Vivado Design Suite设计软件,提供全新构建的SoC 增强型.以 IP 和系统为中心的下一代开发环境,以解决系统级集成和实现的生 ...

  3. 搭建属于自己的数字IC EDA环境(五):搭建FPGA自动化环境(Linux下vivado Tcl脚本自动化开发),业余IC设计流程与通路

    1.简述 一个完整的IC EDA环境也不能缺少了FPGA,FPGA原型验证是IC设计流程中重要的一环.一个芯片从设计到流片需要投入大量的人力.财力以及很长的研发周期,如果流片失败,对于公司来说是一笔很 ...

  4. vivado链接不上开发板最有可能原因

    文章目录 vivado链接不上开发板最有可能原因 vivado链接不上开发板最有可能原因 vivado链接不上开发板最有可能原因 我们在使用vivado平台进行FPGA工程开发的时候,往往会遇到这样的 ...

  5. t’触发器真值表和状态方程_触发器的逻辑功能通常可用 特征方程 、 状态转换图 、 功能真值表 和 时序波形图 等多种方法进行描述。 ( )_学小易找答案...

    [单选题]电气装置控制 ,只有轿门和所有层门完全关闭时,电梯才能正常运行. [多选题]公共物品的特征? [判断题]触发器按动作特点可分为基本型.异步型 .主从型和边沿型. ( ) [判断题] [论述题 ...

  6. Vivado与matlab系统开发设计 system generator(1)入门与安装

    Vivado与matlab系统开发设计 system generator(1)入门与安装 今天由"82年的程序媛"本媛给大侠带来FPGA设计 vivado 与 matlab系统开发 ...

  7. 74ls163是同步清零吗_74LS163引脚功能表及管脚定义图 (带时序波形图)

    定时器由与系统秒脉冲(由时钟脉冲产生器提供)同步的计数器构成,要求计数器在状态信号ST作用下,首先清零,然后在时钟脉冲上升沿作用下,计数器从零开始进行增1计数,向控制器提供模5的定时信号TY和模25的 ...

  8. 【蜂鸟E203的FPGA验证】Chap.8 Vivado综合与性能分析-Vivado性能分析

    [蜂鸟E203的FPGA验证]Chap.8 Vivado综合与性能分析-Vivado性能分析 综合后资源利用率分析 2. 综合后功耗与功耗优化 3. 综合后布局布线实现 4. 综合后静态时序分析 前言 ...

  9. 【OpenCV 4开发详解】保存和读取XML和YMAL文件

    本文首发于"小白学视觉"微信公众号,欢迎关注公众号 本文作者为小白,版权归人民邮电出版社发行所有,禁止转载,侵权必究! 经过几个月的努力,小白终于完成了市面上第一本OpenCV 4 ...

  10. linux打开vivado_ubuntu启动vivado UBUNTU 16.04安装VIVADO成功启动SDK - Linux - 服务器之家...

    ubuntu启动vivado UBUNTU 16.04安装VIVADO&&成功启动SDK 发布时间:2017-04-25 来源:服务器之家 在UNBUNTU 16.04 64位 系统下 ...

最新文章

  1. 【转载】Asp.Net 全生命周期
  2. 在ATS插件中使用互斥锁
  3. linux远程登录键盘反应慢,通过OpenSSH远程登录时的延迟问题解决
  4. 卷积核尺寸如何选取呢?
  5. OpenStack-Pike(一)
  6. 时间序列与R语言应用(part5)--移动平均MA模型及其可逆性
  7. 浏览器的安全设置在哪里?要如何设置
  8. Rust 2018临近:设法从Rust 2015过渡
  9. bzoj 1535: [POI2005]Sza-Template(fail树)
  10. python之路_数据备份及pymysql模块
  11. 网页调用exe程序传参
  12. 计算机机房无尘,计算机机房建设标准
  13. matlab如何从视频中分离音频文件,如何从视频中分离音频文件 值得收藏
  14. PPT精品教程隐私政策
  15. 多种方法在Markdown加入上划线、中划线、下划线
  16. linux无法访问443端口,无法监听EC2上的https端口443(Amazon Linux)
  17. 贪吃蛇小游戏(HTML+CSS+JS)
  18. nginx: [warn] conflicting server name locahost on 0.0.0.0:80, ignored
  19. 网络工程师职场生存发展的困惑
  20. 为了讨好程序员,阿里云和 Costa 开了家码农咖啡馆

热门文章

  1. 计算机网络实验水晶头,计算机网络 实验1 双绞线水晶头制作.docx
  2. 18个高效使用Google搜索的技巧
  3. 强子对撞机下午3时半开始一次全轨道试验,如果产生黑洞,人类将在今日消失
  4. 如何在keil5中定义结构变量
  5. opencv分量法、加权平均法、最大值法、平均值法灰度化
  6. win7与internet时间同步出错_时间同步出错,教您时间同步出错
  7. 微博分享代码怎么显示自定义来源
  8. 如何免费下载英文论文
  9. 【无标题】互联网广告投放优势和前景
  10. android水下气泡,科学网—水下爆炸气泡的基本现象及规律 - 黄超的博文