数字电路实验四选一数据选择器行为级模型

1、Verilog HDL的行为级建模主要是描述电路所具有的行为,或者说,是电路在哪些输人信号来临时会有什么样的输出,这种输入和输出的关系可以认为是电路的行为。所以,如果使用Verilog HDL的行为方式来对电路进行建模,那么实际的电路图并没有什么参考价值,因为抽象层次太低。这也是行为级建模的优点:不用过多关心底层电路的实现形式,只需关注该电路应该具有什么样的行为。例如.四选一数据选择器的代码就可以不看电路图,直接编写如下。

module MUX4x1(Y,A,B,C,D,S1,S0,En_);output Y;input A,B,C,D;input S1,S0;input En_;reg Y;always @(A or B or C or D or S1 or S0 or En_)beginif(En_==1'b0)Y=0;elsebegincase({S1,S0})2'b00:Y=A;2'b01:Y=B;2'b10:Y=C;2'b11:Y=D;default:Y=0;endcaseendendendmodule

测试代码如下:

module Test();reg a, b, c, d, en_;reg s1, s0;wire y;initialbegina=1'b0; b=1'b0; c=1'b0; d=1'b0;en_=1'b0;s0=1'b0;s1=1'b0;#10 a=1'b0; b=1'b0; c=1'b0; d=1'b1;s0=1'b0;s1=1'b1;#10 a=1'b0; b=1'b0; c=1'b1; d=1'b0;s0=1'b1;s1=1'b0;#10 a=1'b0; b=1'b1; c=1'b0; d=1'b0;s0=1'b1;s1=1'b1;#10 a=1'b1; b=1'b0; c=1'b0; d=1'b0;s0=1'b0;s1=1'b0;#20 $stop;endalways#5 en_=~en_;MUX4x1 mymux(y, a, b, c, d, s1, s0, en_);endmodule

以上代码主要用了关键词always和if、case语句来完成的代码。
可由下面的仿真图验证其代码正确

2、视频地址
数字电路实验四选一数据选择器行为级模型视频

数字电路实验四选一数据选择器行为级模型相关推荐

  1. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  2. 南京邮电大学电工电子(数电)实验报告——二进制全加器 数据选择器 集成触发器

    文章目录 一.设计二进制全加器 (1)实验目的 (2)设计原理或设计过程 (3)实验电路图 (4)RTL代码设计(加分项) (5)ISE原理图输入的实验数据分析和实验结果 二.数据选择器及应用 (1) ...

  3. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  4. VHDL实验一:2选1数据选择器(绘制原理图)

    一.实验要求 2选1数据选择器 输入信号:两个数据源a和b,选择端s.输出信号:选择输出端y.利用选择端s对输出端进行控制.达到2选1数据选择器的效果. 二.实验内容 1.二选一数据选择器的原理图: ...

  5. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  6. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  7. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  8. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

  9. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  10. Verilog VHDL三种建模描述方式——2选1数据选择器

    标题Quartus II 标题 Verilog VHDL三种建模描述方式--2选1数据选择器 1,结构化描述方式: 是使用实例化低层次模块的方法,即调用其他已经定义过的低层次模块对整个电路的功能进行描 ...

最新文章

  1. 实战:基于OpenCV实现偏斜文档校正
  2. 努比亚红魔有人脸识别_魅族魅蓝6T、努比亚红魔Mars电竞手机、华为畅享10Plus对比...
  3. PB 级大规模 Elasticsearch 集群运维与调优实践
  4. C语言编程规范--代码注释
  5. Java文件类boolean isDirectory()方法(带示例)
  6. 面试技巧:16个经典面试问题回答思路(转)
  7. Idea(二) 解决IDEA卡顿问题及相关基本配置
  8. Oracle数据库——触发器的创建与应用
  9. 主从复制面试之作用和原理
  10. Linux开发_多线程编程
  11. rsync 全网备份
  12. pythonopencv算法_OpenCV算法精解 基于Python与C++pdf
  13. 转:div中内容上下居中小结
  14. ESP32 开发笔记(十一)使用 ESP32 做为 WebServer
  15. 【虚拟机】VirtualBox 安装 Windows 11 虚拟机简介
  16. Hbuilder x安装教程(前端html5开发)
  17. 最简单的非线性动力系统
  18. 解决 SSL握手失败问题
  19. Spring 全家桶,永远滴神
  20. TencentOS-Tiny在苹果MacOS初上手

热门文章

  1. 韩顺平 Java IO流 自学笔记
  2. 微信小程序官方开发文档
  3. unity引用类型序列化_Unity中的序列化与反序列化
  4. 获取电话名录的九种方法
  5. 《数据库系统原理》课程设计:超市订单管理系统
  6. 迅通雾霾处理技术为清晰都市保驾护航
  7. Single Image Haze Removal Using Dark Channel Prior(使用暗通道先验去除单张图像雾霾)
  8. Java项目:药品管理系统(java+SpringBoot+VUE+Mybatis+Maven+Mysql)
  9. vue页面回显数据_解决vue项目中页面调用数据 在数据加载完毕之前出现undefined问题...
  10. css-图片模糊处理