文章目录

  • 一、设计二进制全加器
    • (1)实验目的
    • (2)设计原理或设计过程
    • (3)实验电路图
    • (4)RTL代码设计(加分项)
    • (5)ISE原理图输入的实验数据分析和实验结果
  • 二、数据选择器及应用
    • (1)实验目的
    • (2)实验原理或设计过程
    • (3)实验电路图
    • (4)RTL代码设计(加分项)
    • (5)ISE原理图输入的实验数据分析和实验结果
  • 三、集成触发器及应用
    • (1)实验目的
    • (2)实验原理或设计过程
    • (3)实验电路图
    • (4)RTL代码设计(加分项)
    • (5)ISE原理图输入的实验数据分析和实验结果

一、设计二进制全加器

(1)实验目的

1、掌握常用译码器的工作原理与逻辑功能。
2、了解动态扫描显示电路的工作原理与优缺点。
3、掌握十进制数字动态显示电路的设计方法。

(2)设计原理或设计过程

(3)实验电路图


(4)RTL代码设计(加分项)

  • RTL代码
module full_adder(input wire in1,input wire in2,input wire cin,output wire cout,output wire sum
);wire sum0;wire cout0;wire cout1;assign {cout0,sum0}=in1+in2;assign {cout1,sum}=cin+sum0;assign cout=cout0 | cout1;endmodule
  • 仿真测试模块代码
    三个输入端产生0到1的随机数。
`timescale 1ns/1nsmodule full_adder_tb;reg in1;reg in2;reg cin;wire cout;wire sum;full_adder full_adder(.in1(in1),.in2(in2),.cin(cin),.cout(cout),.sum(sum));initial beginin1=0;in2=0;cin=0;endalways #10 in1<={$random}%2;always #10 in2<={$random}%2;always #10 cin<={$random}%2;initial begin$timeformat(-9,0,"ns",6);$monitor("@time %t: in1=%d in2=%d cin=%d cout=%d sum=%d",$time,in1,in2,cin,cout,sum);end
endmodule
  • 仿真波形图

(5)ISE原理图输入的实验数据分析和实验结果


二、数据选择器及应用

(1)实验目的

1、熟悉中规模集成电路数据选择器的工作原理与逻辑功能。
2、掌握数据选择器的应用。

(2)实验原理或设计过程

1.用数据选择器M8_1E实现函数F=(m0,m4,m5.m8.m12,m14)
用M8_1E,画出F的卡诺图,降一维,实现电路。

(3)实验电路图


(4)RTL代码设计(加分项)

  • RTL代码
module mux(input wire A,input wire B,input wire C,input wire D,output reg F
);always @(*)case({C,B,A})3'd0,3'd4,3'd6,3'd7  : F = ~D;3'd1,3'd3,3'd5         : F = 1'b0;3'd2                      : F = 1'b1;endcaseendmodule
  • 仿真测试模块代码
`timescale 1ns/1nsmodule mux_tb;reg A;reg B;reg C;reg D;wire F;mux mux_inst(.A(A),.B(B),.C(C),.D(D),.F(F));initial beginA=1'b0;B=1'b0;C=1'b0;D=1'b0;endalways #10 A <= {$random}%2;always #10 B <= {$random}%2;always #10 C <= {$random}%2;always #10 D <= {$random}%2;endmodule
  • 仿真波形图

(5)ISE原理图输入的实验数据分析和实验结果


三、集成触发器及应用

(1)实验目的

1、掌握集成触发器的逻辑功能。
2、熟悉用触发器构成计数器的设计方法。
3、掌握集成触发器的基本应用。

(2)实验原理或设计过程

设计一个3bit可控延时电路,该电路有一个输入信号CP,一个串行输入信号F1,一个串行输出信号F2,F1和F2与CP同步,另有两个控制信号K1和K2。对该电路的逻辑功能要求:(1)当K1K2=00,F1和F2没有延时;(2)当K1K2=01时,延时一个时钟周期;(3)当K1K2=10,延时两个时钟周期;(4)当K1K2=11,延时三个时钟周期。
①串行输入信号F1:可用2位二进制计数器的Tc输出端。
②3bit延时电路:运用D触发器实现,将F1置于触发器D端,在后续CP作用下,触发器Q端输出即为延迟一个时钟周期的F2,将3个D触发器级联,可实现3bit延时。
③控制电路:利用四选一数据选择器在开关控制下依次选择F1,Q1,Q2,Q3到数据选择器的输出端即可。

(3)实验电路图


(4)RTL代码设计(加分项)

  • RTL代码
module bit_3(input wire clk,input wire [1:0]K,input wire clr,output reg F1,output reg F2
);reg Q1;reg Q2;reg Q3;reg clk_4;reg [1:0]cnt;always @(posedge clk or negedge clr)if(!clr)cnt <= 1'b0;else if(cnt == 2'd3)cnt <= 1'b0;else cnt <= cnt + 1'b1;always @(posedge clk or negedge clr)if(!clr)clk_4 <= 1'b0;else if(cnt == 2'd2)clk_4 <= ~clk_4;always @(posedge clk_4 or negedge clr)if(!clr)F1 <= 1'b0;elseF1 <= ~F1;always @(posedge clk or negedge clr)if(!clr) beginQ1 <= 1'b0;Q2 <= 1'b0;Q3 <= 1'b0;endelse beginQ1 <= F1;Q2 <= Q1;Q3 <= Q2;endalways @(*)if(!clr)F2 <= 1'b0;else begincase(K)2'b00 : F2 = F1;2'b01 : F2 = Q1;2'b10 : F2 = Q2;2'b11 : F2 = Q3;endcaseendendmodule
  • 仿真测试模块代码
`timescale 1ns/1ns
`define clk_period 20module bit_3_tb;reg clk;reg [1:0]K;reg clr;wire F1;wire F2;bit_3 bit_3_inst(.clk(clk),.K(K),.clr(clr),.F1(F1),.F2(F2));initial beginclr = 1'b0;K = 1'b0;#20;clr = 1'b1;K = 2'b00;#(`clk_period*50);K = 2'b01;#(`clk_period*50);K = 2'b10;#(`clk_period*50);K = 2'b11;#(`clk_period*50);endinitial clk = 1'b1;always #(`clk_period/2) clk = ~clk;endmodule
  • 仿真波形图
    K=00

    K=01

    K=10

    K=11

(5)ISE原理图输入的实验数据分析和实验结果


南京邮电大学电工电子(数电)实验报告——二进制全加器 数据选择器 集成触发器相关推荐

  1. 南京邮电大学电工电子基础B实验六(组合逻辑电路)

    一. 实验目的 1.掌握基本门电路的实际应用: 2.掌握基本门多余端的处理方法: 3.验证所设计电路的逻辑功能: 4.判断.观察组合逻辑电路险象并消除险象的方法: 二. 主要仪器设备及软件 硬件:逻辑 ...

  2. 南京邮电大学电工电子基础B实验五(串联谐振电路)

    RLC串联谐振电路 一. 实验目的 1.研究RLC串联谐振电路的幅频特性: 2.加深理解品质因数Q与电路其他参量的关系: 二. 主要仪器设备及软件 硬件:函数信号发生器.示波器.万用表.电阻.电感.电 ...

  3. 南京邮电大学电工电子基础B实验四(戴维南与诺顿定理)

    戴维南与诺顿定理 一. 实验目的 1.学习几种常用的等效电源的测量方法 2.比较几种测量方法所适用的情况 3.分析各种方法的误差大小及其产生的原因 二. 主要仪器设备及软件 硬件:交流电源.电容.电感 ...

  4. 数电实验报告实验一_大二下学期的两三事之数电实验amp;课设

    数电实验&课设 叮 写在前面 这学期的数电实验老师是陈W老师.上学期的模电,选过一次他的课,线下教学,老师讲课游刃自如,点到为止,但又醍醐灌顶:这学期线上教学,可能是软件运用不熟练,他多少有些 ...

  5. 南京邮电大学通达学院c语言实验报告,南京邮电大学通达学院c语言程序报告.docx...

    南京邮电大学通达学院c语言程序报告 南京邮电大学通达学院程序设计(上机)报告 题 目: R015M P008E 专 业 学 生 姓 名 班 级 学 号 指 导 教 师 日 期 2015年5月 评 分 ...

  6. 南京邮电大学通达学院c语言实验报告,南京邮电大学通达学院c语言程序报告..docx...

    南京邮电大学通达学院c语言程序报告. 南京邮电大学通达学院程序设计(上机)报告题 目: R015M P008E专 业 学 生 姓 名 班 级 学 号 指 导 教 师 日 期 2015年5月 评 分 细 ...

  7. 南京邮电大学电工电子(数电)实验报告——计数器 移位寄存器

    文章目录 一.计数器及应用 (1)实验目的 (2)实验原理或设计过程 (3)实验电路图 分频比为5的整数分频电路 输出序列码10101 (4)分频比为5的整数分频电路RTL代码设计(加分项) (5)输 ...

  8. 南京邮电大学电工电子(数电)实验报告——组合逻辑电路 时序逻辑电路

    文章目录 一.组合逻辑电路 (1)实验目的 (2)实验原理或设计过程 ①4选1数据选择器 ②3-8译码器 ③8-3优先编码器 ④十六进制七段LED显示译码器 (3)实验数据分析和实验结果 (4)仿真波 ...

  9. 南京邮电大学电工电子(数电)实验报告——动态显示电路 存储器的应用

    文章目录 一.动态显示电路 (1)实验目的 (2)实验原理或设计过程 (3)实验电路图 (4)实验数据分析和实验结果 (5)RTL代码实现(加分项) hex.v代码 bcd_8421.v代码 seg. ...

最新文章

  1. 设计中涉及到的dip、dp、px、sp等单位说明
  2. php时间戳 今天昨天,php求今天、昨天、明天时间戳的简单实现方法
  3. java自定义异常怎么做
  4. python里的坑。http://www.pythoner.com/356.html
  5. linux版本的edge多网页标签(tag)不见了咋办
  6. php导出数据库的指定表数据,MYSQL教程mysql数据库导出指定表数据的方法
  7. 图片无法删除要计算机管理员,存在桌面的图片删不掉,怎么处理?提示是需要管理员权限。...
  8. 计算机科学与导论期末论文,计算机科学与导论论文3
  9. pythonwhile循环love_python基础之while循环及编码
  10. 帆软所有销量为0的显示为空值_爆品秘籍?—线上三亚跟团游销量影响因素分析...
  11. 2-3:套接字(Socket)编程之UDP通信,sockaddr,sockaddr_in,recvfrom,sendto
  12. HDU 4946 Area of Mushroom 凸包 第八次多校
  13. Axure Rp8激活码
  14. SQL日志文件损坏或丢失造成数据库置疑的解决办法
  15. php充值奖励部分,BLUE引擎充值角本多充多送脚本累计充值奖励教程
  16. 大唐杯题库(300道)(一)
  17. 《Java从入门到放弃》JavaSE入门篇:集合
  18. DBA01 - 数据库服务概述 构建MySQL服务器、数据库基本管理 MySQL数据类型
  19. 使用支付宝支付出现问题:交易订单处理失败 请稍后再试 ALI38173
  20. 强大的公式编辑器 —— MathType最新版本安装与使用

热门文章

  1. 电子货架标签——添加苹果版本APP操控
  2. 南京印象之新街口地铁站
  3. 车联网安全学习之TBOX
  4. 解决docker打包Eureka注册中心,其他服务无法注册问题
  5. Windows Defender Antivirus Service关闭方法
  6. 2022年JDK环境配置(超详细)
  7. Listview上的checkbox重复和滚动自动调用chexkbox设置监听
  8. win10办公共享打印机报错
  9. 小技巧-解决电脑文件名太长显示不完整问题
  10. 计算机信息项目管理高级工程师,信息系统项目管理师考过了就是高级工程师了吗?是不是拿了高项证书还必须具备学位,文凭等才能聘用?...