OFDM之维比特解码

Viberti算法的基本原理是将接收到的信号序列和所有可能的发送信号序列比较,选择其中汉明距离最小的序列认为是当前发送序列。若发送k位序列,则有2^k种可能的发送序列。计算机应存储这些数列一作比较。K较大时,实用性收到限制,维比特算法对此作了简化,使之能够受用。

译码流程:

(1)建立网格图:用矩阵思想来构建网格图,由当前状态到下一状态的映射,并记录输出状态矩阵(output(j+1,l+1))和memory_contents(来记录各个寄存器在下一个状态下的信息(二进制) 以便与生成矩阵相乘得出输出 )

(2)开始非尾信道解码:寻找最优路径网格图建立之后,根据接收码组和网格图中生成的码组按最短码距比较,判断最优路径。并得出幸存矩阵survivor_state数组。

(3)开始尾部信道输出解码:程序说明同上,只不过输入矢量只为0。

(4)从最佳路径中产生解码:译码过程可从数组survivor_state的最后一个位置向前逐级译码,最后得出decoder_output_matrix,并按一维序列形式重新组织输出。

viterbi代码

function [decoder_output,survivor_state,cumulated_metric]=viterbi(G,k,channel_output)
%VITERBI 卷积码的维特比解码器
%[decoder_ouput,survivor_state,cumulated_metric]=viterbi(G,k,channel_output)
% G是一个n*Lk矩阵,该矩阵的每一行确
% 定了从移位记错器到第n个输出间的连接,
% 是码速率。
% survivor_state是表示通过网络的最佳路径的矩阵。
% 量度在另一个函数metric(x,y)中给出,而且可根据
% 硬判决和软判决来指定。
% 该算法最小化了量度而不是最大化似然
n=size(G,1); %取出矩阵G的一维大小,即得出输出端口
% 检查大小
if rem(size(G,2),k)~=0 %当G列数不是k的整数倍时
   error('Size of G and k do not agree') %发出出错信息
end
if rem(size(channel_output,2),n)~=0 %当输出量元素个数不是输出端口的整数倍时
   error('Channel output not of the right size') %发出出错信息
end
L=size(G,2)/k; %得出移位数,即寄存器的个数
% 由于L-1个寄存器的状态即可表示出输出状态,
% 所以总的状态数number_of_states可由前L-1个
% 寄存器的状态组合来确定
number_of_states=2^((L-1)*k);
% 产生状态转移矩阵、输出矩阵和输入矩阵
for j=0:number_of_states-1 %j表示当前寄存器组的状态因为状态是从零
    %开始的,所以循环从0到number_of_states-1
                              
      for l=0:2^k-1 %l为从k个输入端的信号组成的状态,总的状
                                  %态数为2^k,所以循环从0到2^k-1
                                    
      % nxt_stat完成从当前的状态和输入的矢量得出下寄存器组的一个状态
      [next_state,memory_contents]=nxt_stat(j,l,L,k);
            % input数组值是用于记录当前状态到下一个状态所要的输入信号矢量
      %  input数组的维数: 一维坐标x=j+1指当前状态的值
      %   二维坐标y=next_state+1指下一个状态的值
      % 由于Matlab中数组的下标是从1开始的,而状态值
      % 是从0开始的,所以以上坐标值为:状态值+1
      input(j+1,next_state+1)=l;             %%%%%%这句有什么用????????
      % branch_output用于记录在状态j下输入l时的输出
      branch_output=rem(memory_contents*G',2);      % %重要%%
      nextstate(j+1,l+1)=next_state;
      % output数组记录了当前状态j下输入l时的输出(十进制)
      output(j+1,l+1)=bin2deci(branch_output);
   end
end
% state_metric数组用于记录译码过程在每状态时的汉明距离
% state_metric大小为number_of_states2(:,1)当前
% 状态位置的汉明距离,为确定值,而(:,2)为当前状态加输入
% 得到的下一个状态汉明距离,为临时值
state_metric=zeros(number_of_states,2);
% depth_of_trellis用于记录网格图的深度
depth_of_trellis=length(channel_output)/n;
% 输出矩阵,每一列为一个输出状态
channel_output_matrix=reshape(channel_output,n,depth_of_trellis);
% survivor_state描述译码过程中在网格图中的路径
survivor_state=zeros(number_of_states,depth_of_trellis+1);
%开始尾信道输出的解码
for i=1:depth_of_trellis-L+1 %i指示网格图的深度
   % flag矩阵用于记录网格图中的某一列是否被访问过
   flag=zeros(1,number_of_states);
   if i<=L
      step=2^((L-i)*k); %在网格图的开始处,并不是所有的状态都取
   else %用step来说明这个变化
      step=1; %状态数从1→2→4→...→number_of_states
   end
   for j=0:step:number_of_states-1 %j表示寄存器的当前状态
      for l=0:2^k-1 %l为当前的输入
         branch_metric=0; %用于记录码间距离
         % 将当前状态下输入状态l时的输出output转为n位二进制,以便
         % 计算码间距离(说明:数组坐标大小变化同上)。
         binary_output=deci2bin(output(j+1,l+1),n);
         % 计算实际的输出码同网格图中此格某种输出的码间距离
         for ll=1:n
            branch_metric=branch_metric+metric(channel_output_matrix(ll,i),binary_output(ll));
         end
         % 选择码间距离较小的那条路径
         % 选择方法:
         % 当下一个状态没有被访问时就直接赋值,否则,用比它小的将其覆盖
         if((state_metric(nextstate(j+1,l+1)+1,2)>state_metric(j+1,1)...
               +branch_metric)|flag(nextstate(j+1,l+1)+1)==0)                           %%????????????
            % 下一个状态的汉明距离(临时值)=当前状态的汉明距离(确定值)+ 码间距离
            state_metric(nextstate(j+1,l+1)+1,2)=state_metric(j+1,1)+branch_metric;
            % survivor_state数组的一维坐标为下一个状态值,二维坐标为此状态
            % 在网格图中的列位置,记录的数值为当前状态,这样就可以从网格图中
            % 某位置的某个状态得出其对应上一个列位置的状态,从而能很方便的完
            % 成译码过程。
            survivor_state(nextstate(j+1,l+1)+1,i+1)=j;
            flag(nextstate(j+1,l+1)+1)=1; %指示该状态已被访问过
         end
      end
   end
   state_metric=state_metric(:,2:-1:1); %移动state_metric,将临时值移为确定值
end
%开始尾部信道输出解码
for i=depth_of_trellis-L+2:depth_of_trellis
   flag=zeros(1,number_of_states);
   %  状态数从number_of_states→number_of_states/2→...→2→1
   % 程序说明同上,只不过输入矢量只为0
   last_stop=number_of_states/(2^((i-depth_of_trellis+L-2)*k));
   for j=0:last_stop-1
      branch_metric=0;
      binary_output=deci2bin(output(j+1,1),n);
      for ll=1:n
         branch_metric=branch_metric+metric(channel_output_matrix(ll,i),binary_output(ll));
      end
      if((state_metric(nextstate(j+1,1)+1,2)>state_metric(j+1,1)...
            +branch_metric)|flag(nextstate(j+1,1)+1)==0)
         state_metric(nextstate(j+1,1)+1,2)=state_metric(j+1,1)+branch_metric;
         survivor_state(nextstate(j+1,1)+1,i+1)=j;
         flag(nextstate(j+1,1)+1)=1;
      end
   end
   state_metric=state_metric(:,2:-1:1);     %第一列与第二列调换
end
% 从最佳路径中产生解码
% 译码过程可从数组survivor_state的最后一个位置向前逐级译码
state_sequence=zeros(1,depth_of_trellis+1);
% survivor_state数组的最后的输出状态肯定是“0”
state_sequence(1,depth_of_trellis)=survivor_state(1,depth_of_trellis+1);
% 逐级译码过程
for i=1:depth_of_trellis
   state_sequence(1,depth_of_trellis-i+1)=survivor_state((state_sequence(1,depth_of_trellis+2-i)...
      +1),depth_of_trellis-i+2);
end
decorder_output_matrix=zeros(k,depth_of_trellis-L+1);
for i=1:depth_of_trellis-L+1
   % 根据数组input的定义来得出从当前状态到下一个状态的输入信号矢量
   dec_output_deci=input(state_sequence(1,i)+1,state_sequence(1,i+1)+1);   %??????????
   % 转成二进制信号
   dec_output_bin=deci2bin(dec_output_deci,k);
   % 将一次译码存入译码输出矩阵decoder_output_matrix相应的位置
   decoder_output_matrix(:,i)=dec_output_bin(k:-1:1)';
end
% 按照一维序列形式重新组织输出
decoder_output=reshape(decoder_output_matrix,1,k*(depth_of_trellis-L+1));
% state_metric为网格图最后一个列位置中“0”状态位置的汉明距
% 离,这个值就是整个译码过程中的汉明距离。
cumulated_metric=state_metric(1,1);

OFDM之viterbi译码相关推荐

  1. BPSK调制下(2,1,6)标准卷积码及打孔生成2/3、3/4、4/5、5/6删余码Viterbi译码误码率曲线图(MATLAB实现)

    关注公号[逆向通信猿]更精彩!!! 目录 仿真结果 卷积码原理图 删余后性能比较 常用的删余码 仿真代码 根据码率生成打孔参数(子函数) 将标准(2, 1, 6)编码后的序列进行打孔,生成删余码(子函 ...

  2. viterbi译码算法简介

    viterbi译码算法简介 viterbi译码算法是一种卷积码的解码算法.优点不说了.缺点就是随着约束长度的增加算法的复杂度增加很快.约束长度N为7时要比较的路径就有64条,为8时路径变为128条.  ...

  3. Viterbi译码及其matlab和FPGA实现

    Viterbi译码由美国科学家Viterbi在1967年提出1,是卷积码的译码算法,是一种最大似然译码算法,其通过寻找距离最短的译码路径来实现.这篇文章侧重实现,具体原理就不说明了. matlab实现 ...

  4. viterbi matlab仿真,通信系统中Viterbi译码的Matlab仿真与实现

    2 维特比译码原理 Viterbi译码是卷积码的最大似然译码算法,是一种实用化的概率算法.它的基本思想是把已接收序列与所有可能的发送序列作比较,选择其中码距最小的一个序列作为发送序列.从图2的卷积码网 ...

  5. viterbi matlab,Matlab实现Viterbi译码

    viterbi译码算法是一种卷积码的解码算法.优点不说了.缺点就是随着约束长度的增加算法的复杂度增加很快.约束长度N为7时要比较的路径就有64条,为8时路径变为128条. (2< 先说编码(举例 ...

  6. 可用于SDR的C语言纠错编码通用算法收集(3)-卷积码与Viterbi译码

    为了配合学生借助 taskbus进行纯控制台STDIO模块的开发,我们收集了手头的通用纠错算法,便于学生进行开发学习.今天介绍卷积码以及维特比译码算法.在我自己以前学习<通信原理>的时候, ...

  7. 卷积码Viterbi译码算法基本原理及C语言实现

    配套代码:https://download.csdn.net/download/wlwdecs_dn/14463620 界面 (3, 1, 3)卷积码状态转移框图 源文件 编码文件: 译码文件:

  8. matlab viterbi译码详解

    思路详解 如何通俗地理解最小距离 卷积编码器模型 卷积编码器的子生成元: g1=111, g2=101 生成码元的方程: c0t=m0t+m0t−1+m0t−2c_0^t =m_0^t+m_0^{t- ...

  9. 卷积码译码:硬判决维特比(Viterbi)译码

    卷积码的译码主要有 代数译码 和 概率译码 两大类,其中代数译码采用类似于分组码的伴随式及其变形:概率译码又分为 维特比译码 和 序列译码两种方法,其中 维特比译码算法 是使译码错误概率最小的最大似然 ...

最新文章

  1. TVM自定义数据类型
  2. Webots ROS
  3. error while loading shared libraries: xxx.so.0:cannot open shared object file: No such file or
  4. SESSION常见问题辑
  5. 一步一步学Silverlight 2系列(4):鼠标事件处理
  6. 【玩转cocos2d-x之六】节点类CCNode
  7. android 开发环境 配置和说明
  8. 为什么说5G会提前于2018年到来?
  9. [NOIP2015] 提高组 洛谷P2661 信息传递
  10. C# 对文本文件的几种读写方法
  11. Linux 离奇磁盘爆满,如何解决? | 原力计划
  12. Git的17条基本用法
  13. 接口测试搭建之JMeter接口测试与SoapUI接口测试
  14. 火狐浏览器设置url编码_URL编码与解码
  15. 《加速器理论(第二版)》读书笔记
  16. 1319 移棋子游戏(sg函数模板)
  17. 戴尔服务器加无线网卡用不了,戴尔笔记本无线网卡驱动如何安装?(已解决)...
  18. 290万人考研:所有的不平凡,从不认命开始
  19. LAZARUS APT利用恶意word文档攻击MAC用户
  20. 【装箱问题】基于Shuffled Complex Evolution (SCE) 算法解决装箱问题 (BPP)附matlab代码

热门文章

  1. java mysql多次事务 模拟依据汇率转账,并存储转账信息 分层完成 dao层 service 层 client层 连接池使用C3p0 写入库使用DBUtils...
  2. VM虚拟机安装Windows sever2008 R2系统
  3. 企业补丁管理-windows/Mac/Linux打补丁
  4. 不要迷恋哥,哥只是个传说……
  5. 在java中给数组赋值,java中给数组赋值的方法
  6. Java数组练习--数组随机赋值
  7. 门店系统了解一下:如何选择适合概念店的POS?
  8. 如何进行前端自动化测试?
  9. Excel清除固定单元格
  10. SKU 和 SPU 有什么区别