xilinx 时钟问题:

ERROR:Place:1398 - A clock IOB / BUFGCTRL clock component pair have been found that are not placed at an optimal clock IOB / BUFGCTRL site pair. The clock IOB component <cmos_pclk> is placed at site <W10>. The corresponding BUFGCTRL component <cmos_pclk_BUFGP/BUFG> is placed at site <BUFGCTRL_X0Y0>. The clock  IO can use the fast path between the IOB and the Clock Buffer if the IOB is  placed on a Clock Capable IOB site that has dedicated fast path to BUFGCTRL  sites in its half of the device (TOP or BOTTOM). You may want to analyze why this problem exists and correct it. If this sub optimal condition is  acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint  in the .ucf file to demote this message to a WARNING and allow your design to continue. However, the use of this override is highly discouraged as it may  lead to very poor timing results. It is recommended that this error condition  be corrected in the design. A list of all the COMP.PINs used in this clock placement rule is listed below. These examples can be used directly in the  .ucf file to override this clock rule.
   < NET "cmos_pclk" CLOCK_DEDICATED_ROUTE = FALSE; >
ERROR:Pack:1654 - The timing-driven placement phase encountered an error.

遇到上面的问题是因为我们将外部输入的一个时钟管脚  cmos_pclk(摄像头输出给FPGA的像素时钟)分配到了一个普通的IO口上面,如果是zedboard专有时钟管脚 Y9就肯定没这样的错误了。

因为是IO管脚上,所以其周围没有全局时钟 BUFG,所以我们在 XDC 里使用: NET "cmos_pclk" CLOCK_DEDICATED_ROUTE = FALSE;    来屏蔽 Xilinx 的检测,从而通过编译。

下面我们介绍下 xilinx 时钟的管理:

Xilinx 芯片全局时钟资源的使用方法主要有下面5种:

1. GCLK  ->  IBUFG  -->  BUFG.

IBUFG 后面连接 BUFG的方法是最基本的全局时钟资源的使用方法, 其等效于  BUFGP

2.  GCLKP&&GCLKN   -->   IBUFGDS    --->  BUFG

当输入时钟为差分信号时候,需要使用 IBUFGDS 原语

3.  IBUFG +  DCM  +  BUFG

通过 DCM(digital clock manage) 不仅能对时钟进行同步、移相、分频、倍频等变换,而且可以使用全局时钟的输出无抖动和小延迟。

4.  Logic + BUFG

BUFG 不但可使用 IBUFG 的输出,还可以驱动其他普通信号的输出。当某个信号的扇出非常大,并且要求抖动延迟最小时,可以使用BUFG驱动该信号,使该信号利用全局时钟资源。

5.  Logic  +  DCM  +  BUFG

DCM 可以改变也可以控制变换普通时钟信号。

demo1:

从全局时钟管脚(晶振)作为时钟输入,让其驱动多个模块。  success

demo2:

从全局时钟输入一个时钟,让其驱动一个 PLLE2_BASE 原语,以及很多模块  。   success

demo3:

从全局时钟输入一个时钟,让其驱动一个 clock IP 核(输入加BUFG),以及很多模块  。   false

ERROR:  Xst:2035 - Port <clk_100> has illegal connections. This port is connected to an input buffer and other components.

修改为 clock 输入不加 BUFG 即可. success

demo4:

从全局时钟输入一个时钟,让其驱动一个 clock IP 核(输入加或者不加BUFG都可以),然后从clock IP 核输出的时钟来驱动很多模块  。   success

ise中的引脚分为几种,有的是适合clock的,有些是适合组合逻辑的。

一个clock引脚,需要分配到GC pin上面,但是你分配到了CC或者其它的引脚上面了,不过如果不想换引脚位置,可以在RTL里面通过Global buffer来转换下。GC pin一般是用于连接clock的。

GC - global clock inputs drive the IBUFG/BUFG components.  GC pin has the dedicated routing to BUFG/PLL/DCM.

CC - clock capable IO are the regional clock inputs and drive the BUFIO/BUFR。 CC pin has the dedicated routing to BUFIO/BUFR.

所用的CLOCK PIN是不是DATA PIN,并不适合作为时钟使用的。上面说IOB输入离CLOCK BUFFER太远,一般情况下,作为CLOCK PIN的输入后应很快就能找到CLOCK BUFFER资源的,要么换个PIN试试,要么先加个IBUFG,再BUFG,或者先IBUFG,再DCM,再BUFG出去, 而且需要对其使用约束: NET "cmos_pclk" CLOCK_DEDICATED_ROUTE = FALSE

xilinx 时钟问题相关推荐

  1. (53)Xilinx时钟原语-BUG与IBUFG(第11天)

    (53)Xilinx时钟原语-BUG与IBUFG(第11天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)Xilinx时钟原语-BUG与IBUFG(第11天) ...

  2. Xilinx 7系列原语使用(时钟相关)——(一)

    一.部分常用时钟原语介绍  1.         IBUFDS.OBUFDS:差分信号缓冲器,用于不同电平接口之间缓冲和转换.用于普通bank的差分时钟转单端. 1).IBUFDS为差分输入缓冲器,支 ...

  3. FPGA中的时钟设计1

    关于一款芯片,最权威.最新的资料当然是厂家的官方文件.很多大牛都推荐直接阅读原厂的 datasheet 和 user guide.根据我的体验,这确实是最好的途径.原因有两个: 首先,市面上的书一般都 ...

  4. PYNQ-z2的学习过程

    1. PYNQ-Z2 如图:先学习10分钟轻松上手PYNQ (http://www.digilent.com.cn/studyinfo/67.html)这个是z1的不过都能学习,大致差不多.   视频 ...

  5. 【 FPGA 】Xilinx设计约束(XDC)中时钟约束的表示方法

    目录 时钟描述 基本时钟 虚拟时钟 生成时钟 时钟描述 (1)clk0的时钟属性:周期为10ns,占空比为50%,相移为0ns:(相移也可以用°来表示,例如相移位0°,相移为90°等) (2)clk1 ...

  6. Xilinx FPGA全局时钟和第二全局时钟资源的使用方法

    目前,大型设计一般推荐使用同步时序电路.同步时序电路基于时钟触发沿设计,对时钟的周期.占空比.延时和抖动提出了更高的要求.为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时 ...

  7. Xilinx中时钟资源:模式时钟管理器(MMCM)的使用

    混合模式时钟管理器(MMCM) 除了丰富的时钟网络以外,Xilinx还提供了强大的时钟管理功能,提供更多更灵活的时钟.Xilinx在时钟管理上不断改进,从Virtex-4的纯数字管理单元DCM,发展到 ...

  8. cpld xilinx 定义全局时钟_时钟相关概念

    欢迎FPGA工程师加入官方微信技术群 点击蓝字关注我们FPGA之家-中国最好最大的FPGA纯工程师社群 一.时钟相关概念 理想的时钟模型是一个占空比为50%且周期固定的方波.Tclk为一个时钟周期,T ...

  9. Xilinx FPGA单端时钟设计方法

    1.1 Xilinx FPGA单端时钟设计方法 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Xilinx FPGA单端时钟设计方法: 5)结束语. 1.1.2 本节引 ...

最新文章

  1. 后台开发经典书籍--mysql从入门到精通
  2. codevs1520 回文字符串
  3. lhgselect 联动选择下拉菜单 v1.0.0 (2011-06-13)
  4. Array.of()方法
  5. 2015-2020年各类国际会议与期刊基于图像的三维对象重建论文综述(4)—— 3D Surface decoding
  6. NHibernate和 FluentNHibernate
  7. Linux忘记密码修改密码
  8. python统计元音字母个数_python统计并输出字符串中小写元音字母的个数?
  9. android经典项目案例开发
  10. 剑指Offer:一只青蛙一次可以跳上1级台阶,也可以跳上2级。求该青蛙跳上一个n级的台阶总共有多少种跳法
  11. 黑客突破防火墙常用的几种技术(转)
  12. data mapping
  13. 基于数据挖掘的智能停车场运营数据分析系统
  14. Qt运行提示QGtkStyle was unable to detect the current GTK+ theme.解决方法
  15. js读取excel导出json文件
  16. 代码整洁之道-读书笔记之整洁的代码
  17. 增值税发票二维码内容字段解析
  18. Ubuntu20.04 floodlight安装教程
  19. 读者问答 | 学习C++能从事什么工作?
  20. 2023NHOI数三角形题解

热门文章

  1. 如何使用idm下载百度网盘的资源
  2. 【转载】MSE(均方误差)、RMSE (均方根误差)、MAE (平均绝对误差)- 机器学习 - 线性回归之模型评估
  3. EOJ 3346 皇后问题
  4. Ubuntu16.04 Jupyter安装
  5. 雷达原理笔记之雷达方程推导
  6. MATLAB自定义函数 计算三元函数矢量符号微分
  7. 经常熬夜上通宵对人有什么影响
  8. 开源LLM「RWKV」想要打造AI领域的Linux和Android|ChatAI
  9. 电脑网速很快但网页打开很慢
  10. 献给佛山敏捷DevOps志愿者:今天能读到唐诗你知道有多幸运吗?