无源蜂鸣器驱动实验例程讲解


根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤。比如非常简单的项目,我们可以省去虚线框里面的步骤,但是我们的入门级课程,即使再简单,也按照这12个步骤来进行讲解。

若要观看该博客配套的视频教程,可点击此链接

1. 需求解读

1.1 需求

按下KEY2蜂鸣器报警,松开KEY2关闭报警

1.2 知识背景

    蜂鸣器是一种一体化结构的电子讯响器,因其体积小、重量轻、价格低、结构牢靠而广泛应用于报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件。本章节将详细介绍如何使用按键去控制蜂鸣器发声。
1 蜂鸣器简介
    蜂鸣器按其结构可分为电磁式蜂鸣器和压电式蜂鸣器两种类型。电磁式蜂鸣器由振荡器、电磁线圈、磁铁、振动膜片及外壳组成。压电式蜂鸣器主要由多谐振荡器、压电蜂鸣片、阻抗匹配器以及共鸣箱、外壳等组成。压电式蜂鸣器是以压电陶瓷的压电效应,来带动金属片的振动而发声;而电磁式蜂鸣器则是用电磁的原理,通电时将金属振动膜吸下,不通电时以振动膜的弹力弹回。由于两种蜂鸣器发声原理不同,电压式结构简单耐用但音调单一、音色差,适用于报警器等设备;而电磁式由于音色好,所以多用于语音、音乐等设备。

    蜂鸣器按其是否带有信号源又分为有源蜂鸣器和无源蜂鸣器。有源蜂鸣器的内部装有集成电路,不需要音频驱动电路,只需要接通直流电源就能直接发出声响。而无源蜂鸣器只有外加音频驱动信号才能发出声响。

    有源蜂鸣器只能发出固定频率的声音,不适合用来做音乐播放器,为了让我们开发板功能更全面,我们选用无源蜂鸣器, 所以这里为大家介绍无源蜂鸣器的驱动方式。
2. 无源蜂鸣器驱动原理
    无源蜂鸣器与有源蜂鸣器不同,因其内部不带震荡源,所以其无法向有源蜂鸣器那样直接用直流信号驱动,这里需要使用PWM方波才能驱动其发声。
    如何发出不同的声音呢?上面说到需要使用PWM方波才能驱动其发声,所以这里我们只要控制输入的PWM方波,输入不同频率和占空比的PWM方波发出的声音就不一样了。而不同频率和占空比的方波发出的声音是不同的,其中频率对音调有影响,占空比对音量大小有影响。所以我们只需产生不同频率和占空比的PWM方波去驱动无源蜂鸣器就能让无源蜂鸣器发出不同的音调了。

PWM的频率:是指1秒钟内信号从高电平到低电平再回到高电平的次数(一个周期);也就是 说一秒钟PWM有多少个周期。单位: Hz

PWM的周期与频率的关系:
T=1/f
周期=1/频率
50Hz = 20ms 一个周期

如果频率为50Hz ,也就是说一个周期是20ms 那么一秒钟就有 50个PWM周期

占空比:是一个脉冲周期内,高电平的时间与整个周期时间的比例
单位: % (0%-100%)
表示方式:20%

上图中,周期为T
T1为高电平时间
T2 为低电平时间

假设周期T为 1s ,那么频率就是 1Hz ,高电平时间0.5s ,低电平时间0.5s, 总的占空比(T1/T)就是 0.5 /1 =50%

1.3 硬件设计

图5.有源晶振


1.4 接口说明

信号名 方向 FPGA管脚号 说明
CLK50M 输入 B10 时钟信号,50MHZ
KEY1 输入 E4 按下为低电平,松开为高电平,作为复位信号
KEY2 输入 B3 按下为低电平,松开为高电平,作为蜂鸣器控制信号
BEEP 输出 F14 PWM方波,用于驱动无源蜂鸣器

    总结:通过上述说明,可以将需求解读成:按下KEY2,M13管脚输出一定频率的PWM信号,蜂鸣器发声; 松开按键,M13管脚置为高电平,蜂鸣器停止发声

2. 绘制理论波形图

程序框图

无源蜂鸣器驱动理论波形图

*    通过上图,大家能算出方波(beep)的频率吗?假设时钟clk为50Mhz(周期20ns)一个beep信号周期有2x(pwm_num+1)个clk周期(有同学会问,为什么是pwm_num+1个周期呢?那是因为是从0开始计算的)。所以,beep的周期等于2x(pwm_num+1)20(单位ns),换算成秒等于2x(pwm_num+1)x20/1000/1000/1000,所以频率等于1/(2x( pwm_num +1)x20/1000/1000/1000)单位HZ。假如pwm_num=1000,那么频率等于24975HZ。

3.新建TD工程

为了让工程看起来整洁,同时方便工程移植。我们新建4个文件夹,分别是Project,Source,Sim,Doc。
Project — 工程文件夹,里面放的TD工程
Source — 源代码文件夹,里面放的工程源码(.v文件或.vhd文件)
Sim — 仿真文件夹,里面放的仿真相关的文件
Doc — 存放相关资料,比如数据手册,需求文档等

4.编写代码

///
//QQ:3181961725
//TEL/WX:13540738439
//工程量:Mr Wang
//模块介绍:产生一定频率的方波(PWM波)驱动无源蜂鸣器
///
module alarm_ctrl(input clk     ,input  rst_n   ,input  key     ,output beep);parameter pwm_num=20000;reg  [15:0]  cnt=0;reg      beep_tmp;//PWM信号assign  beep=(key==0)?beep_tmp:1'b1;always@(posedge clk or negedge rst_n)beginif(!rst_n)cnt<=0;else if(cnt==pwm_num)cnt<=0;else  cnt<=cnt+1;endalways@(posedge clk or negedge rst_n)beginif(!rst_n)beep_tmp<=0;else if(cnt==0)beep_tmp<=~beep_tmp;else;end
endmodule

5.编写仿真测试激励文件

仿真框图

仿真测试激励文件(TB文件)

`timescale 1ns/1ps
module alarm_ctrl_tb;reg    clk;reg rst_n;reg key;initialbeginclk=0;key=1;//按键未按下rst_n=0;//生成复位激励信号#1000rst_n=1;//生成复位激励信号#1000000key=0;end//生成时钟激励信号always #10 clk<=~clk;//例化被仿真模块alarm_ctrl Ualarm_ctrl(.clk     (clk),.rst_n        (rst_n),.key        (key),.beep       ());
endmodule

6.Modelsim仿真

Modelsim仿真一般有两种方法

  1. 图形化界面仿真,即所有的操作都是在Modelsim软件界面上来完成,该方式的优点是,简单易学,适用于简单的项目,缺点是操作步骤繁琐。

  2. 批处理仿真,这种方式在仿真前需要编写相应的脚本文件,该方式的优点是,一键即可完成仿真,省时省力,缺点是前期需要编写脚本文件。前两讲采用的是图形化界面仿真的方式;为了更贴近工程实际,从第三讲开始,我们就采用批处理方式仿真。具体操作步骤可参考我们的视频教程
    仿真出的波形如下图所示:

7.对比波形图

将第二步绘制的理论波形图与第六步Modelsim仿真出来的波形图进行对比,结果一致,说明我们的逻辑设计是正确的。如果发现比对结果不一致,就需要找到不一致的原因,最终要保证对比结果一致。通过对比,理论波形与仿真波形一致,说明功能符合设计要求。

8 添加.v文件

9 绑定管脚并保存约束文件(.adc)


10 编译综合生成BIT文件

11.下载BIT文件


下载成功后,便可以观察到开发板上的实验现象,如果实验现象与设计需求相符,那说明我们的设计是没有问题的,即可进行下一步固化配置文件。

12 固化配置文件

FPGA有一个特性,就是掉电后配置信息会丢失,所以我们需要将配置信息存储在配置芯片(FLASH)中,待开发板上电后,FPGA便会读取配置芯片中的配置信息,这样开发板掉电再上电后同样可正常工作

固化成功后,开发板断电再重新上电,可以观察到开发板仍然可以执行刚刚的功能。

【小月电子】安路国产FPGA开发板系统学习教程-LESSON10无源蜂鸣器驱动相关推荐

  1. 【小月电子】ALTERA FPGA开发板系统学习教程-LESSON10无源蜂鸣器驱动

    无源蜂鸣器驱动实验例程讲解 若要观看该博客配套的视频教程,可点击此链接 开发板实物图 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤.比如 ...

  2. 【小月电子】安路国产FPGA开发板系统学习教程-LESSON7串口通信

    串口通信例程讲解 若要观看该博客配套的视频教程,可点击此链接 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤.比如非常简单的项目,我们可以 ...

  3. 【小月电子】安路国产FPGA开发板系统学习教程-LESSON3 LED流水灯

    LED流水灯例程讲解 若要观看该博客配套的视频教程,可点击此链接 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤.比如非常简单的项目,我们 ...

  4. 【小月电子】安路国产FPGA开发板系统学习教程-LESSON1点亮LED灯

    点亮LED灯例程讲解 若要观看该博客配套的视频教程,可点击此链接 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤.比如非常简单的项目,我们 ...

  5. 【小月电子】安路国产FPGA开发板系统学习教程-LESSON2 LED灯闪烁

    LED灯闪烁例程讲解 若要观看该博客配套的视频教程,可点击此链接 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤.比如非常简单的项目,我们 ...

  6. 【小月电子】ALTERA FPGA开发板系统学习教程-LESSON12 IPCORE核之FIFO详细教程

    ALTERA FPGA IPCORE核之FIFO详细教程 若要观看该博客配套的视频教程,可点击此链接 一. FIFO简介 FIFO: 是英文first in first out的缩写,即先进先出,指的 ...

  7. 【小月电子】ALTERA FPGA开发板系统学习教程-LESSON3 LED流水灯

    LED流水灯例程讲解 若要观看该博客配套的视频教程,可点击此链接 开发板实物图 图1.FPGA设计流程 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去 ...

  8. 【小月电子】ALTERA FPGA开发板系统学习教程-LESSON4数码管静态显示

    数码管静态显示例程讲解 若要观看该博客配套的视频教程,可点击此链接 开发板实物图 图1.FPGA设计流程 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省 ...

  9. 【小月电子】ALTERA FPGA开发板系统学习教程-LESSON7串口通信

    串口通信例程讲解 若要观看该博客配套的视频教程,可点击此链接 开发板实物图 图1.FPGA设计流程 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中 ...

最新文章

  1. ORA-04091: table is mutating, trigger/function may not see it
  2. 记录 之 tensorflow 常用函数:tf.split(),tf.clip_by_value() 和 tf.cond()
  3. PAT:1042. Shuffling Machine (20) AC
  4. Web前端行业的了解
  5. 如何与Ansible共同托管GitHub和GitLab
  6. php 后端调试,一般如何调试php程序
  7. 拓端tecdat|R语言markov switching model马尔可夫转换分析研究水资源
  8. 数学实验基于matlab软件,数学实验:基于MATLAB软件
  9. 二等分计算机打印机尺寸,[有赞零售] 【教程贴】打印机如何设置一等分、二等分、三等分打印尺寸...
  10. Towards a Theory of Accountability and Audit
  11. 发光二极管 正向压降
  12. java开发用win7好还是win10_win7和win10系统哪个好用
  13. 【剑指offer】面试题46:把数字翻译成字符串【C++版本】
  14. Emacs-057-hippie-expand使用
  15. 最简单DIY基于ESP32CAM的物联网相机系统③(在JSP服务器图传相片给所有客户端欣赏)
  16. java arraylist.add(),Java ArrayList add()方法与示例
  17. Alibaba Cloud Linux 3 正式发布!新特性来了!
  18. html------轮播图
  19. (转) maven 依赖com.google.code.kaptcha
  20. 常见的测试类型及其测试方法(一)

热门文章

  1. 嵌入式学习总结之STM32(一)仿真软件proteus的使用
  2. 航空ADSB数据解析
  3. 升降衣柜-自动控制(基于STC89C52单片机,步进电机)
  4. 京东量化手把手教你写“法玛三因子”策略
  5. 2020年12月电子学会Python等级考试试卷(三级)考题解析
  6. 你看到各种各样的漂亮二维码制作都跑不出这8个原理!
  7. 应用ZcxOracle实现Zope和Oralce数据库的连接
  8. Leetcode—双指针
  9. ios to android 下载地址,Android/iOS互传工具(Jihosoft Phone Transfer)下载 v3.4.2
  10. 从开源到众包---写在2015“程序员”节