代码如下(testbench代码是我自己写的,仅供参考)

//2021-11-2
//7段码译码器;
`timescale 1ns/10ps
module seg_dec(num,a_g);
input[3:0] num;
output[6:0] a_g;  //a_g[6:0]-->{a,b,c,d,e,f,g};reg[6:0] a_g;
always@(num) begincase(num)4'd0: a_g<=7'b111_1110;4'd1: a_g<=7'b011_0000;4'd2: a_g<=7'b110_1101;4'd3: a_g<=7'b111_1100;4'd4: a_g<=7'b011_0011;4'd5: a_g<=7'b101_1011;4'd6: a_g<=7'b101_1111;4'd7: a_g<=7'b111_0000;4'd8: a_g<=7'b111_1111;4'd9: a_g<=7'b111_1011;default: a_g<=7'b000_0001;endcase
endendmodule//----testbench of seg_dec----
module seg_dec_tb;
reg[3:0] num_in;
wire[7:0] a_g_out;seg_dec seg_dec(.num(num_in),.a_g(a_g_out));initial beginnum_in=0;#140 $stop;
end always#10 num_in<=num_in+1;endmodule

仿真结果如下

https://www.bilibili.com/video/BV1hX4y137Ph?p=3&spm_id_from=pageDriver

Verilog 练习 7段数码管译码器相关推荐

  1. BCD-七段数码管显示译码器

    目的 1. 进一步掌握VHDL语言的基本结构及设计的输入方法. 2. 掌握BCD-七段显示译码器的设计思路: 内容 1. 使用拨码开关SW3.SW2.SW1.SW0作为四位二进制数据 D.C. B.A ...

  2. 利用全加器实现7段数码管_显示译码器 || 7段数码管 || 7段LCD || 7448 || 数电

    显示译码器 || 7段数码管 || 7段LCD || 7448 || 数电 1数码显示器件 在介绍显示译码器之前,先介绍一下常用的数码显示器件,可以分为 半导体显示器 液晶显示器 荧光数码管 辉光数码 ...

  3. FPGA _Verilog HDL_十六进制7段码显示译码器设计实验

    一.题目 十六进制7段码显示译码器设计实验. 二.源代码 说明:本实验使用的是共阴数码管. //---------------------------------------------------- ...

  4. 7段数码管管脚顺序及译码驱动集成电路74LS47,48

    [转]7段数码管管脚顺序及译码驱动集成电路74LS47,48 发表于 2008/6/3 16:37:34 7段数码管管脚顺序及译码驱动集成电路74LS47,48 这里介绍一下7段数码管见下图 7段数码 ...

  5. 74HC245_键盘与8段数码管共有一个IO口_控制步进电机【Protues】

    完成功能: 数码管显示 '键盘输入角度-实际运动角度-运动状态-速度等级'初始显示 "Ent Act P 3" 键盘控制 步进电机 左转 右转 暂停 加减速 键盘输入0~9 ENT ...

  6. LED计数电路,5输入按键编码器,7段数码管显示驱动集成为LED计数测试电路

    LED计数电路: 5输入按键编码器: 7段数码管显示驱动真值表: 集成:

  7. (63)FPGA面试题-用verilog写一段代码,实现消除一个glitch(毛刺)(二)

    1.1 FPGA面试题-用verilog写一段代码,实现消除一个glitch(毛刺)(二) 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-用verilo ...

  8. (62)FPGA面试题-用verilog写一段代码,实现消除一个glitch(毛刺)(一)

    1.1 FPGA面试题-用verilog写一段代码,实现消除一个glitch(毛刺)(一) 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-用verilo ...

  9. 用VBA模拟7段数码管显示数字

    上次在PPT中用VBA编制了一个计时器,实现了倒计时功能,但是我觉得这个计时器不够酷炫,如果采用7段数码管显示数字,那就够COOL了.为了实现这个功能,我把任务进行了分解,今天先完成第一步,如何用VB ...

  10. 7段数码管和打印机接口

    目录 七段发光二级管显示器接口(重点) 打印机适配器(重点) 例题 补充两个芯片(了解) 数据输出寄存器 数据输入三态缓冲器 七段发光二级管显示器接口(重点) 灯泡的题最难就是7段数码管.重点要知道共 ...

最新文章

  1. (转)I,P,B帧和PTS,DTS的关系
  2. UESTC 趣味赛命题报告E
  3. Dojo中跨域获取新浪股票接口返回的数据(练习)
  4. 我如何想成为Java
  5. mysql存储过程事务和捕获异常信息
  6. 实现滑动分页(微博分页方式)
  7. php正则多个字段,正则表达式,grep_linux shell中如何实现对多个关键字段的排除?,正则表达式,grep,linux,shell - phpStudy...
  8. 【观察】新华三大学:逆势而上,顺势而为,应势而变
  9. PE盘PE系统盘制作
  10. gulp在工作中的应用
  11. 无法核实服务器证书,申请证书审核失败的原因及处理方法
  12. 怎么做无线路由跟服务器一个网段,一个宽带装两个路由器怎么设置?
  13. 在数据可视化这条路上,除了天天做图表,还有更重要的3件事
  14. Android 炫酷自定义 View - 剑气加载
  15. 过年表情包 || 一个月后就能用啦
  16. 三、SpringSecurity 动态权限访问控制
  17. python第四次作业——陈灵院
  18. 配置 JAVA_HOME
  19. 管理定律-管人用人育人留人之道
  20. 项目融资计划书PPT模板

热门文章

  1. play商店 小米_小米应用商店和Google Play商店的简单对比
  2. 超简单!Oneplus一加手机安装谷歌服务框架Google Play商店下载应用,安装谷歌三套件
  3. JAVA-day18-Map集合遍历、HashMap、TreeMap、Collections、集合嵌套,模拟斗地主发牌
  4. 【随记】Dialog dismiss无法正常关闭问题
  5. java地铁售票机系统_Java_地铁购票系统
  6. MySQL 5.7 中文全文检索
  7. MongoDB在Windows10上的安装配置和基本语句
  8. 莫斯科的年轻人(一)
  9. 转载:手机银行技术讨论2
  10. 帝国cms系统使用初级教程一(较全面)