8位无符号比较器设计

实验目的
帮助学生理解电路级联扩展的基本原理。

实验内容
利用已经设计完成的四位无符号比较器构建8位无符号比较器。

电路框架
与第1关相同,子电路:8位无符号比较器
请使用Logisim华科改良版完成实验。

电路引脚

信号 输入/输出 位宽 说明
X 输入 8 位 输入X
Y 输入 8 位 输入Y
Great 输出 1 位 X大于Y
Equal 输出 1 位 X等于Y
Less 输出 1 位 X小于Y

完成实验后利用文本编辑工具打开 RGLED.circ 文件,将所有文字信息复制粘贴到 Educoder 平台代码区域,再点击评测按钮即可进行本关测试,平台会对你设计的电路进行自动测试,为方便测试,请勿修改子电路封装,本关测试用例如下:

Cnt X Y Great Equal Less
00 06 07 0 0 1
01 d6 a4 1 0 0
02 e2 e2 0 1 0
03 ef 9b 1 0 0
04 f0 b6 1 0 0
05 76 12 1 0 0
06 21 bb 0 0 1
07 fb 1f 1 0 0
08 ca 24 1 0 0
09 b2 38 1 0 0
0a 68 c1 0 0 1

 <tool name=

8位无符号比较器设计相关推荐

  1. 4位无符号比较器设计

    4位比较器原理: 4位比较 a3a2a1a0 : b3b2b1b0,比较顺序从高位到低位,当高位大.小关系确定时则无需看低位,当高位相等时再看相邻低位的关系. 注意:对于三个比较结果,已知其中任意两个 ...

  2. 16位无符号比较器设计

    4位: 16位:

  3. Logisim之——4位无符号比较器

    任务描述 本关任务:在Logisim中完成4位比较器电路的绘制并完成测试. 相关知识 4位比较器原理 4位比较 a3a2a1a0 : b3b2b1b0,比较顺序从高位到低位,当高位大.小关系确定时则无 ...

  4. Verilog除法器(32位无符号+带符号)

    32位无符号除法器设计 恢复余数法: 恢复余数除法的基本思路是从"部分余数"中减去除数,如果结果为负(不够减),则恢复原来的部分余数,商0. 寄存器使用: reg_r 存放被除数a ...

  5. 8位无符号和8位有符号转化为更高字节类型的问题

    main() {unsigned char uch=0xff; //数值为255 char ch=0xff; //数值为-1int i=0; i=i+uch;printf("%x\n&quo ...

  6. boost::multiprecision模块实现导入/导出 cpp_int 的位 到 8 位无符号值的向量相关的测试程序

    boost::multiprecision模块实现导入/导出 cpp_int 的位 到 8 位无符号值的向量相关的测试程序 实现功能 C++实现代码 实现功能 boost::multiprecisio ...

  7. 【极限精度】基于stm32f4xx的蜂鸣器音乐播放(生日快乐)及国际绝对音名标准频率定义(32位无符号整型精度、十二等律体系、A4=440.01000Hz)

    [极限精度]基于stm32f4xx的蜂鸣器音乐播放(生日快乐)及国际绝对音名标准频率定义(32位无符号整型精度.十二等律体系.A4=440.01000Hz) 资源: download.csdn.net ...

  8. %llu 64位无符号%d、%u、%x/%X、%o%f、%e/%E或%g/%G

    hhd,hhx,hhu, 这几个都是输出8位的, hd, hx, hu, 这几个都是输出16位数据的, d, lx, ld, lu, 这几个都是输出32位的, lld,ll, llu,llx,这几个都 ...

  9. 【最新】国际绝对音名标准频率及音符长度C语言宏定义(32位无符号整型精度、十二等律体系、A4=440.00000Hz)

    [最新]国际绝对音名标准频率及音符长度C语言宏定义(32位无符号整型精度.十二等律体系.A4=440.00000Hz) #ifndef __MUSIC_NOTE_H__ #define __MUSIC ...

最新文章

  1. 植物根际微生物组也有昼夜节律
  2. linux gcc 宏定义 __GNUC__ __GNUC_MINOR__ 版本区分
  3. 对TELNET登陆路由器的用户进行限制
  4. MySQL Sharding DB (基于Fabric)
  5. mysql 排序_MySql的几种排序方式
  6. hdu 4160 Dolls (最大独立)
  7. php软件开发--memcache缓存内存对象分布式系统
  8. Ubuntu中使用freeradius配置RADIUS,并在RADIUS中配置LDAP 并实现AP认证
  9. 禁止微信浏览器的下拉滑动
  10. 优美诗词(持续更新)
  11. c语言在电路设计作用,ds1307怎么使用(ds1307引脚图及功能_c语言程序及典型应用电路)...
  12. 一篇關於Android layout研究的文章[轉 from:http://blog.csdn.net/archimedes_zht/article/details/5333120]...
  13. 历届试题 九宫重排 (bfs 八数码问题)
  14. 什么情况下钽电容可以代替铝电解电容?
  15. 从事GIS开发多年,2017年对GIS行业的心得,尤其对三维GIS的理解 1
  16. 蓝桥杯刷题013——小猪存钱罐(并查集)
  17. maven打包时打包指定的lib文件夹
  18. Hack The Box-Fawn
  19. JVM运行时内存结构学习
  20. 量子计算机拓扑超导新状态,美研制出奇特的拓扑超导体材料

热门文章

  1. 【猫猫的Unity Shader之旅】之玻璃材质
  2. php的swoole教程,PHP + Swoole2.0 初体验(swoole入门教程)
  3. VirtualBox Guest Additions
  4. Python 计时器(秒钟、秒表)
  5. 用cmd进入DOS窗口的步骤
  6. 初始化k8s时,报错[kubelet-check] It seems like the kubelet isn‘t running or healthy.
  7. 电脑维护入门 GHOST 使用方法 图解说明
  8. SoFiA2用户手册自翻译
  9. matlab中sqp的算法原理_最优化方法及其Matlab程序设计 马昌凤
  10. CodeForces 85D Sum of Medians Splay | 线段树