Spartan 7上手指南

  • 一、安装board文件
    • 1. 下载并解压板卡压缩文件
    • 2. 复制到Vivado安装目录
  • 二、demo工程
    • 1. 下载demo
    • 2. 修改tcl
    • 3. 恢复工程
    • 4.生成bit
  • 三、调试运行
    • 1. 连接电脑
    • 2. 设置串口
    • 3. 烧写bit
      • 1) 打开Open Hardware Manager
      • 2) 点Open Target
      • 3) 点Auto Connect
      • 4) 右键点击xc7s50_0
      • 5) 点Program Device
      • 6) 点Program
    • 4. 运行效果

今天拿到一块ARTY S7开发板,主芯片是xc7s50csga324。
大小非常的小巧,但是该有的资源一点也不少

开发环境:
官网上用的是2017,我没有这么老的版本,用了Vivado2019.2
安装路径是C:\Xilinx\Vivado\2019.2
有些版本上的细节调整,后面会讲到。

一、安装board文件

1. 下载并解压板卡压缩文件

默认情况下,Vivado选择board时是没有这个板子的型号的,需要从网上下载

打开链接

https://github.com/Digilent/vivado-boards

下载压缩包并解压

压缩包里new是2015.x及以上版本,old适用于2014.4及以下版本
我用的是2019.2,所以选用new文件夹的文件

2. 复制到Vivado安装目录

把这些文件夹复制到vivado安装目录下的data\boards\board_files目录,然后重启vivado

C:\Xilinx\Vivado\2019.2\data\boards\board_files

重新打开Vivado后新建工程,可以看到有了Arty S7-50这个开发板型号

二、demo工程

参考链接

https://digilent.com/reference/learn/programmable-logic/tutorials/github-demos/start

1. 下载demo

打开链接

https://digilent.com/reference/programmable-logic/arty-s7/start


点击这个链接打开新页面
可以看到提供了7s25和7s50的工程,我的开发板是50,所以选了S7-50的zip包

最后下载的文件如下图

我把它解压放到了

D:\debug\192\S7Arty\Arty-S7-50-GPIO

2. 修改tcl

这里有个小问题需要注意,网上下载的board是digilentinc.com:arty-s7-50:part0:1.1
工程里面用的是1.0,直接使用会提示board_part没定义

ERROR: [Board 49-71] The board_part definition was not found for digilentinc.com:arty-s7-50:part0:1.0. The project's board_part property was not set, but the project's part property was set to xc7s50csga324-1. Valid board_part values can be retrieved with the 'get_board_parts' Tcl command. Check if board.repoPaths parameter is set and the board_part is installed from the tcl app store.

所以需要打开proj/create_project.tcl

把这里1.0修改成1.1就可以解决这个问题

3. 恢复工程

一般情况网上提供的Vivado工程,并不是直接提供的Vivado工程压缩包,而是一个恢复重建的tcl文件

打开Vivado,不要创建工程也不要打开工程,以下步骤直接在最底下的Tcl Console进行操作

我的路径是D:\debug\192\S7Arty\Arty-S7-50-GPIO
注意Vivado里面需要把 \ 改成 /, Vivado里面 \ 是转义符

先进入proj目录

cd D:/debug/192/S7Arty/Arty-S7-50-GPIO/proj

然后执行

source create_project.tcl

等待一会,工程就会自动创建完成。

创建好的工程目录结构如下图

4.生成bit

点击Generate Bitstream,如果没有错误的话,等待几分钟就会成功生成bit

三、调试运行

1. 连接电脑

用micro usb连接电脑,效果如图
这个usb同时起到仿真器、串口、供电三种功能,不需要另外外接电源

2. 设置串口

打开串口,默认参数为9600, 8, n, 1

3. 烧写bit

1) 打开Open Hardware Manager

2) 点Open Target

3) 点Auto Connect

4) 右键点击xc7s50_0

这里会识别出芯片型号,右键点击xc7s50_0

5) 点Program Device

点Program Device

6) 点Program

正常情况会自动填写bit文件路径,不用手动修改,如果要烧写别的程序,可以手动输入。
然后点Program开始烧写,烧写完会自动开始运行

4. 运行效果

烧写完成串口马上输出了RTY GPIO/UART DEMO!

并且可以看到板上的灯开始闪烁变色

按动4个按键的任意一个,串口会打印Button press detected!

【Xilinx】Spartan 7上手指南(ARTY S7开发板)相关推荐

  1. Arty A7开发板 + PULPino开源处理器折腾笔记

    http://www.digilent.com.cn/community/566.htm

  2. UT4412BV03 开发板快速上手操作指南

    深圳市友坚科技有限公司电话:0755-86038900 bbs.urbetter.com 第1 页共22 页E-mail:ut_fae@urbetter.com UT4412BV03 开发板快速上手指 ...

  3. XILINX开发板KCU105使用aurora协议---上

    1.KCU105 KCU105是XILINX 基于Kintex ultrascale系列的FPGA 开发板,提供丰富的扩展接口,如SFP/SFP+,网口,HDMI和4路SMA连接器的GTH端口,PMO ...

  4. 北京迅为i.mx6ull终结者开发板使用手册+嵌入式Linux开发指南+裸机手册下载地址

    i.MX6ULL终结者三大手册,加速学习和开发速度,一秒化无形! <嵌入式Linux开发指南>+<开发板使用手册>+<裸机使用手册> 详细手册点击链接下载:http ...

  5. Vitis_米联客开发板MZU07_7EG上手_1

    诉求/目的:FPGA实现算法硬件加速,Vitis编码--程序调试.性能分析.固化--导出到SD卡--开发板运行算法. 我们买的是下面这一款:好像很久以前也搞过,不过不是这一款,翻了下之前的笔记,居然是 ...

  6. 迅为iMX6ULL开发板使用手册资料下载地址

    i.MX6ULL终结者资料介绍:https://www.bilibili.com/video/BV1ny4y1h7gQ i.MX6ULL终结者三大手册,加速学习和开发速度,一秒化无形! 你能想到的知识 ...

  7. 【MM32F5270开发板试用】GPIO输入+EXTI外部中断例程demo试用

    本篇文章来自极术社区与灵动组织的MM32F5270开发板评测活动,更多开发板试用活动请关注极术社区网站.作者:Zeee 前言: 首先,感谢灵动微电子与极术社区给予宝贵的试用机会.借助本次对Plus-F ...

  8. 新手必看 | RVB2601开发板快速上手指南

    简介:技术解码栏目:是面向开发者详细解读芯片开放社区(OCC)上关于处理器.芯片.基础软件平台.集成开发环境及应用开发平台的相关技术,方便开发者学习及快速上手,提升开发效率. 之前我们推送了RISC- ...

  9. 赛灵思 Xilinx UG1506 - Versal ACAP 开发板系统设计方法指南(中文版) (v2021.2)

    文件类型: 方法指南 本文档旨在描述推荐的设计方法,帮助用户以赛灵思 Versal™ ACAP 为目标,采用正确方式设计开发板系统. PDF 链接在此:https://china.xilinx.com ...

最新文章

  1. 安装centos 7 桌面
  2. CVPR2020检测类论文最全汇总:136篇论文方向细分(附代码和论文打包下载)
  3. 华南理工专科计算机随堂联系,华南理工大学网络教育计算机基础随堂练习第三章...
  4. Mac与centos的ip配置
  5. AI 产品经理如何练就?一文了解十大必备技能
  6. 胶囊碰撞体(CapsuleCollider)
  7. pfn_to_page 函数
  8. java从数据库映射实体类的代码
  9. skywalking源码分析第二十三篇一扩展篇:全链路压测
  10. Pytho爬虫-4567电影网电影信息爬取
  11. WP8最大的败笔就是只能用Win8做开发
  12. 2022-07-25 第五小组 顾祥全 学习笔记 day18-JavaSE-接口
  13. 问题:网页版微信协议如何获取语音消息并播放
  14. 谈《西游记》和泛项目
  15. 华为云初次使用和配置安装宝塔配置mysql、Redis远程连接访问
  16. js实现获取当前时间是本月第几周和年的第几周的方法
  17. 连接一个HTTPS网站的前300毫秒,都发生了什么? | ArcBlock 课堂预告
  18. 1+X Web前端等级考证 | PHP 技术与应用(中级重点)
  19. TypeScript 踩坑之 TS7053
  20. 微信编辑器春天模板推荐

热门文章

  1. 使用VPS时的注意事项
  2. 【已解决】程序文件被ESET NOD32误杀或拦截怎么办?以ENDPIINT SECURITY为例添加信任教程截图(ESET通用))
  3. PacBio相关知识
  4. 考研英语作文 独家手写笔记
  5. 产品定位:你是钉子,还是棒槌?
  6. 三星班加罗尔(SRIB)校园面试体验
  7. 2015美国计算机研究生就业,2015年美国计算机专业研究生排名
  8. 【绝对好用】java poi 导入、导出excel(支持xsl、xslx)
  9. 速看!2022年8月编程语言排行榜出炉!第一名太牛啦
  10. 纳税服务系统四(角色模块)【角色与权限、角色与用户】