一. 实验说明

使用软件:Quartus II 5.0
实验要求说明:设计一个一位全加器(full adder)

1.引脚功能表

逻辑说明:XOR 为异或 ,AND 为 与, OR 为或。输入包括两个加数与一个进位信号;输出包括一个本位和与进位信号

2. VHDL 实现

use ieee.std_logic_1164.all;entity full_adder1 is
port (a,b,ci:in std_logic;s,co:out std_logic);end entity;architecture behave of full_adder1 is
begin s<=a xor b xor ci;co<=((a xor b)and ci)or (a and b);end behave;

3. 实验原理

一位全加器的真值表

说明:AiAiA_i 为被加数,BiBiB_i 为加数,相邻低位来的进位数为 Ci−1Ci−1C_{i-1},输出本位和为 SiSiS_i,向相邻高位进位数为 CiCiC_i

一位全加器的表达式如下:

Si=Ai⊕Bi⊕Ci−1Si=Ai⊕Bi⊕Ci−1S_i=A_i⊕B_i⊕C_{i-1}
Ci=AiBi+Ci−1(Ai+Bi)Ci=AiBi+Ci−1(Ai+Bi)C_i=A_iB_i+C_{i-1}(A_i+B_i)

第二个表达式也可用一个异或门来代替或门对其中两个输入信号进行求和:

Ci=AiBi+Ci−1(Ai⊕Bi)Ci=AiBi+Ci−1(Ai⊕Bi)C_i=A_iB_i+C_{i-1}(A_i⊕B_i)

二. Quartus II 使用

熟练掌握软件基本的操作,利用 Quartus II 设计简单的一位全加器,并进行仿真实验

1. 点击右向三角进行源码编译

2. 显示编译成功后,选择菜单栏 Tools –> RTL Viewer 显示逻辑电路图

不同版本的菜单栏子选项可能位置不同,但基本操作一致

显示的逻辑电路图

3. 逻辑电路图显示成功后,进行仿真,确认功能的正确性
选择 File –> New, 弹窗中选择 VectorWaveForm File,点击 OK

新窗口左侧空白处双击,弹窗中选择 Node Finder 按钮 –> 弹窗中选择 List 按钮


弹窗左侧分栏出现实体 name –> 点击两个分栏中间的 >> 按钮,左侧实体全部添加到右侧

左侧实体全部添加到右侧

连续两次点击 OK 推出当前弹窗 –> 工作区出现波形

用鼠标左键选择想要赋值区域,选中后给出相应0、1信号

选择保存后(默认位置与默认文件名即可),选择菜单栏功能仿真按钮

稍等片刻,弹出仿真结果

VHDL 实现一位全加器以及 Quartus II 初探相关推荐

  1. 原理图以及vhdl设计一位全加器

    原理图设计以及VHDL设计 一位加法器 全加器原理 全加器真值 输出表达式 原理图设计法 VHDL设计法 代码如下: 全加器是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器.一位全加器 ...

  2. 一位全加器 VHDL设计与实现

    作者:chenjieb520 一.设计目的 熟悉Quartus II的VHDL文本设计流程全过程,学习组合电路的设计,仿真和测试. 二.设计内容 设计一位全加器,给出程序的设计.软件编译.仿真分析.硬 ...

  3. (原創) Verilog入門書推薦2:數位系統實習 Quartus II (SOC) (Verilog)

    Abstract 之前曾經推薦過一本Verilog的薄書,這次再推薦一本適合FPGA與Quartus II的Verilog入門書籍. Intrduction 作者:陸自強 出版社:儒林圖書公司 語言: ...

  4. Quartus ii 与 Verilog入门教程(1)——Verilog实现8位计数器

    下载:Quartus ii与verilog实现8位计数器,Modelsim仿真工程 1.计数器原理 在时钟作用下,输出信号从0开始,每个时钟的上升沿输出加1.当复位信号有效时,输出清零.计时实现只需累 ...

  5. 基于Quartus II 软件(VHDL)设计

    目录 一,基于 Quartus II 的数字系统设计流程 二,Quartus II 软件使用介绍 1. 建立工程 2. 设计输入 3. 编译 4. 时序仿真 quartus ii 安装请参考: Qua ...

  6. Quartus ii 软件仿真基本流程(使用VHDL)

    文章首发于我的个人博客 这是VHDL系列教程的第一个教程.所谓教程,其实也就是记录我本人在学习过程中遇到的问题和学习内容的笔记,分享在这里供其他初学者参考,如果博客中出现任何错误或不严谨的地方,您可以 ...

  7. Quartus ii 中ROM ip核的应用

    ROM: read only memory: 掉电不丢失数据 RAM可以被配置为ROM 实验内容: 将一组固定数据(三角波)存储在FPGA中使用IP核构建的片上ROM中,开发板上电后,系统开始从ROM ...

  8. linux怎么运行quartus,如何安裝Linux版本的Quartus II

    如何安裝Linux版本的Quartus II 更新时间:2019-03-11 02:57 最满意答案 1.软件下载: 关于quartus ii软件,都可以从altera的官方网站下载到 对于linux ...

  9. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  10. Quartus II开发软件中的宏模块 (转摘)

    Quartus II开发软件中的宏模块 (转摘) RAM宏模块 宏模块名称   功能描述 csdpram    参数化循环共享双端口RAM lpm_ram_dp   参数化双端口RAM lpm_ram ...

最新文章

  1. Jeff Dean:我们写了一份「稀疏模型设计指南」,请查收
  2. 既然他人的成功与自己无关,何必过于关注他人?
  3. AI新方向:对抗攻击
  4. JS格式化JSON串显示在表格中
  5. python numpy官网_Python Numpy 教程(上)
  6. python中国内源_【文】Python更换国内镜像源
  7. 数据库-优化-Limit查询的优化
  8. rsync算法原理及使用
  9. 《研发企业管理——思想、方法、流程和工具》——1.7 企业研发管理的目的
  10. OpenCV矩形检测
  11. Gitlab CI-3.遇到的问题
  12. linux PHP卸载不了
  13. 组件服务-计算机-我的电脑出现红色向下箭头的解决办法
  14. 【大数据部落】WEKA文本挖掘分析垃圾邮件分类模型
  15. Android使用SSL自签名证书
  16. 盐城机电高等职业技术学校计算机专业,盐城机电高等职业技术学校
  17. 音乐厅三角钢琴-Native Instruments Noire v1.1 Kontakt
  18. SAP 销售订单冻结无法交货问题
  19. HTML的图文排版,css 文章内容排版实例
  20. 使用Pyqt5制作IT7321仪器测试软件

热门文章

  1. 抓包工具——【Mac】Charles的下载和安装
  2. 第七届蓝桥杯c语言a组答案,第七届蓝桥杯 C语言A组试题.pdf
  3. IE11降级到IE8
  4. 如何在数字化领域脱颖而出?TOGAF®认证为你的职业成长赋能
  5. linux添加usb打印机,打印机配置和添加本地打印机
  6. APP软件下载导航站源码+带后台版/亲测可用
  7. VTD学习记录——八大进程概括(一)
  8. Mac镜像创建方法简介
  9. 微信小程序使用IconFont彩色图标
  10. 【好用的Mac分屏软件】Magnet for Mac 2.3