如何安裝Linux版本的Quartus II

更新时间:2019-03-11 02:57

最满意答案

1、软件下载:

关于quartus ii软件,都可以从altera的官方网站下载到

对于linux版本的quartus ii,下载了以下软件:

11.0_quartus_linux.sh

11.0_devices_linux.sh

11.0_modelsim_ase_linux.sh

11.0_legacy_nios2_linux.sh

11.0_dsp_builder_linux.sh

2、下载下来之后,直接加上可执行权限,然后安装即可:

chmod 755 ./11.0_quartus_linux.sh

./11.0_quartus_linux.sh

其他软件也一样安装此方法安装就可以了。这里要提醒一下,所有软件安装完后,需要15G的空间,所以建议安装的时候,选择一个空间大的分区。

3、安装完后之后,需要配置一下usb-blaster,不然的话,虽然quartus软件能识别,但是无法探测到FPGA板子而无法使用。这里以fedora 17系统为例:

新建 /etc/udev/rules.d/51-usb-blaster.rules文件,加入以下内容:

# USB-Blaster

SUBSYSTEM=="usb", ATTR{idVendor}=="09fb", ATTR{idProduct}=="6001", MODE="0666", SYMLINK+="usbblaster"

4、将USB-Blaster连接到电脑上,并将它连接到FPGA板子的JTAG接口上,给FPGA板子上电。然后打开

/home/niutao/diskA/altera/11.0/quartus/bin/quartus软件,点击Tool -> JTAG Chain Debugger,而后在JTAG Chain Debugger界面点击Edit -> Hardware setup里面选择USB-Blaster:

之后返回JTAG Chain Debugger界面,点击start,就可以看到FPGA芯片了。

2016-02-17 回答

其他回答

1. 软件下载:

关于quartus ii软件,都可以从altera的官方网站下载到

2.下载下来之后,直接加上可执行权限,然后安装即可:

chmod 755 ./11.0_quartus_linux.sh

./11.0_quartus_linux.sh

其他软件也一样安装此方法安装就可以了。这里要提醒一下,所有软件安装完后,需要15g的空间,所以建议安装的时候,选择一个空间大的分区。 it's so easy!

2016-02-17 回答

相关问答

1. 软件下载: 关于quartus ii软件,都可以从altera的官方网站下载到 2.下载下来之后,直接加上可执行权限,然后安装即可: chmod 755 ./11.0_quartus_linux.sh ./11.0_quartus_linux.sh 其他软件也一样安装此方法安装就可以了。这里要提醒一下,所有软件安装完后,需要15G的空间,所以建议安装的时候,选择一个空间大的分区。 it's so easy!

简单讲解下在Ubuntu 14.04 安装 Quartus II 13.1 的过程推介。 第一步去官网注册然后下载对应的Linux版本,包括软件和设备文件两部分,软件也就是quartus II nios ide,modelsim-altera这些,设备就是具体altera的芯片支持(这部分没装装了软件也没用) 第二步安装,由于下载的DVD镜像,所以第一步是挂载到虚拟光驱,当然也可以解压(不过将会浪费很多时间),挂载完之后进入对应目录,该目录下有一个setup.sh 这个就是安装脚本,运行这个脚本之

...

1、软件下载:   关于quartus ii软件,都可以从altera的官方网站下载到   对于linux版本的quartus ii,下载了以下软件:   11.0_quartus_linux.sh   11.0_devices_linux.sh   11.0_modelsim_ase_linux.sh   11.0_legacy_nios2_linux.sh   11.0_dsp_builder_linux.sh   2、下载下来之后,直接加上可执行权限,然后安装即可:   chmod 755

...

直接去Altera公3司的官网下m载最新的工a具软件 。s:。。 Quartus II 网络版50。5版 免费不i需要许可! 单独的推荐软件下i载 ?Quartus II 网络版 v80。8 用于s Windows (5。0 GB) ?Quartus II 网络版 v80。7 用于h Linux (1。3 GB) ?ModelSim-Altera入l门p版 v50。1 用于q Windows (720 MB) ?ModelSim-Altera入u门k版 v20。4 用于c Linux (182 M

...

您需要在电路中使用时钟信号使其同步,您需要在您的实体中输入如下: i_CLOCK : in STD_ULOGIC;

在此之后,您需要让您的过程对时钟敏感: process(i_CLOCK)

您的架构将改为: architecture arch_1 of sum_register is

SIGNAL r_DOUT : unsigned(15 downto 0);

begin

process(i_CLOCK)

begin

IF rising_edge(i_CL

...

A)您需要编辑* .qsf文件,并添加类似于以下内容的行: set_location_assignment PIN_AP30 -to qdr_q[35]

B)虽然我有时会在设计的早期阶段使用引脚规划器,但是在修改引脚,添加或删除设计中的VHDL文件等时,我几乎都是直接编辑qsf文件。为了完全安全,在进行大量更改时(特别是优化或编译器设置)我将确保Quartus未运行。 自从Quartus出现以来,我一直以这种方式工作,并没有遇到任何问题。 编译设计之后,您可以参考* .pin文件来查看FPGA的

...

在Redhat上你应该考虑使用rpm而不是apt-get或yum 。 要安装的软件包列在altera支持站点中 : glibc.i686,libXext.i386,libX11.i386,libXau.i386,libXdmcp.i386,freetype.i386,fontconfig.i386和expat.i386。 On Redhat you should consider using rpm instead of apt-get or yum. The packages to instal

...

由于j被声明为1位,因此它的唯一值是0和1; 它不能达到200, for循环将是无限的。 声明为: reg [7:0] j; // 0 to 255

或者作为 integer j;

Since j is declared as 1-bit, its only values are 0 and 1; it cannot reach 200, and the for loop will be infinite. Declare it as: reg [7:0] j; // 0 to 255

or

...

使用Altera Quartus II GUI,您可以通过名为“Files”的“Project Navigator”选项卡将“fixed_float_types_c.vhdl”,“fixed_pkg_c.vhdl”和“float_pkg_c.vhdl”文件添加到项目中。 见下图。 添加文件时,可以在“属性”下指定目标库。 见下图。 您还可以修改项目的Quartus II设置(“.qsf”)文件,并添加以下行: set_global_assignment -name VHDL_FILE fixed_

...

有一个在线文本描述了Nios II VGA控制器。 I have used the Demonstration project from DE2-115 DVD and got an FAT library, now I can open files.

linux怎么运行quartus,如何安裝Linux版本的Quartus II相关推荐

  1. python virtualenv 坑_Python 虛擬環境 | Mac/Linux下如何避坑安裝配置Virtualenv

    1.為什么要使用虛擬環境 在Python中,不同的應用可能需要用到不同版本的第三方包,而這些第三方包被統一存放到目錄site-packages中,不同版本的包容易相互覆蓋,如安裝Django 2.1時 ...

  2. 如何在虚拟机linux下运行程序吗,如何在Linux上运行Windows应用程序?

    一些人可能避免在Linux上运行Windows应用程序,但事实上有时候这么做大有帮助. 一些人无法摆脱Windows的最主要原因之一是可用的应用程序.有时候,这些应用程序是老式应用程序,没有开源替代版 ...

  3. Linux下运行纯dos软件,在linux下运行dos软件(转)

    在linux下运行dos软件(转)[@more@]在linux系统上运行的dosemu软件包使你能在linux环境运行许多dos软件. [安装] DOSEMU处于不断进行修改和升级的过程中.它在不断增 ...

  4. linux上运行项目,发布项目到 Linux 上运行 Core 项目

    目录索引 简介 ASP.Net Core 给我们带来的最大的亮点就是跨平台,我在我电脑(win7)上用虚拟机建了个 CentOS7 ,来演示下,我们windows上的项目如何发布项目到Linux上运行 ...

  5. linux python3运行,将Python3安装到Linux上并运行

    将Python3安装到Linux上并运行 使用win10开发一个很好的python项目并把它部署到Linux中,有一个问题需要解决:如何在Linux中运行py文件? 以LunixCentOS7.x平台 ...

  6. linux如何运行windows游戏,如何在Linux上运行Windows应用程序和游戏 互联网技术圈 互联网技术圈...

    在本教程中,我将向您介绍如何在Linux中运行Windows应用程序和游戏.您可能知道,Microsoft Windows使用DirectX来运行游戏.因此,在Windows平台上玩一些需要Direc ...

  7. 安裝linux後的內核調優

    vi /etc/sysctl.conf 编辑文件,加入以下内容: net.ipv4.tcp_syncookies = 1 net.ipv4.tcp_tw_reuse = 1 net.ipv4.tcp_ ...

  8. 嵌入式linux的运行过程,ARM嵌入式设备Linux系统启动步骤和方式

    > 1). 简介本文引用地址:http://www.eepw.com.cn/article/201607/294237.htm 本文简单介绍ARM嵌入式设备基于嵌入式Linux操作系统时候的启动 ...

  9. linux下运行directx,使用DXVK在Linux上改善您的葡萄酒游戏

    目的 将DXVK添加到现有的Wine前缀中,以提高性能. 发行版 本指南的重点是Ubuntu,但是该过程适用于任何发行版. 要求 具有root特权的有效Linux安装. 困难 简单 约定 #-要求给出 ...

最新文章

  1. 修改mysql远程连接
  2. 【PC工具】震精!这个云办公云平台好强大,UZERME云端超级应用平台
  3. Oracle中的NULL(一、问题引入)
  4. 于计算机交朋友教案,三年级上册信息技术教案-2与计算机交朋友|人教版 (2)
  5. 七月算法--12月机器学习在线班-第七次课笔记—最大熵
  6. java.net.Socket 解析
  7. Android 动态绘制曲线等各种图形
  8. Java并发编程实战~Immutability模式
  9. CSharpGL(49)试水OpenGL软实现
  10. axure rp 使用心得
  11. 领航物联网智能操作系统,指令集完成过亿元 A 轮融资
  12. MAC微软远程桌面RDC不能全屏的的解决方法
  13. 高级前端工程师知识图谱
  14. signal 11 linux,linux signal 11 是什么意思
  15. SNP基因数据质控调研
  16. 为什么客户端最后还要等待2MSL?
  17. 一个ETF基金经理的心路历程
  18. 20个免费下载PSD设计网站
  19. 电脑数据丢失如何恢复呢?
  20. bu薪水 华为cloud_【华为】CLOUDBU 华为云数据库工程师招聘 - 软件与微电子学院(SSM)版 - 北大未名BBS...

热门文章

  1. 网络安全一哥的奇安信发布了全球高级可持续威胁年度报告 值得学习
  2. Vue $emit $refs子父组件间方法的调用
  3. 篮球与计算机技术,浅析计算机技术应用对高校篮球教学的作用与影响
  4. Linux环境创建oracle数据库
  5. winform做的单机登录界面和账号注册界面
  6. kettle json input组件 Unable to access your JSON data
  7. 蓝牙BQB认证介绍详情
  8. 用python绘制y=x,y=1+sinx,编写Python程序,使用numpy、pandas、matplotlib三个扩展库在一个画布中绘制4个子图.
  9. python四大数据类型——python筑基系列
  10. Trajectory generation for quadrotor while tracking a moving target in cluttered environment