一、新建工程

首先打开vivado2017.4

点击create project

点击next

为新建的工程起名字,路径和名字都不要有中文
起好名字后点击next

选择RTL project,勾选do not specify sources at this time,表示以后再配置资源文件
选好了之后点击next

输入你的FPGA板的型号,我的是xc7a100tcsg424
然后点击next

finish之后,如图所示,可以配置语言,这里选择的是VHDL

然后我们开始新建/添加文件,点击+

选择add or create design sources







双击你所建立的source之后,就可以开始写程序了

二、写程序

在这里我提供一个简单的程序

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;entity test is
Port ( led:out std_logic;switch:in std_logic);
end test;architecture Behavioral of test isbegin
process(switch)
beginif switch='1' thenled<='1';else led<='0';end if;
end process;end Behavioral;

然后我们开始写仿真程序,同样建立一个仿真文件


仿真文件的命名通常为test_bench

这里我也提供对应的仿真程序,以供参考

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;entity test_bench is
end test_bench;architecture Behavioral of test_bench is
component test port(led :out std_logic;switch:in std_logic);
end component;
signal led:std_logic:='0';
signal switch:std_logic:='0';
begin
dut:test port map(led=>led,switch=>switch);
process
beginswitch<='1';wait for 10ms;switch<='0';wait for 10ms;
end process;
end Behavioral;

写完之后点击保存
三、仿真
然后开始仿真


仿真界面的上方有三个键:a是重新开始仿真,b是开始仿真直到点击break(F5),c是仿真指定的时间,我这里设置的是100ms

点击红色圆圈里的是看到所有仿真波形

仿真波形没有错误,开始综合
四、综合
点击 run synthesis,等待一段时间

跳出一个对话框,点击cancel
五、约束
如果你没有现成的约束文件,就自己约束了
先点击 open synthsized design


打开开发板的使用指南

例如:我想约束为
led对应LD0(H17)
switch对应switch0(J15)

约束之后,保存CTRL+S,跳出来对话框,点击确定
然后为约束文件命名

然后重新执行综合
六、实现以及生成二进制文件
实现

生成二进制文件

然后 open hardware manager
点击open target
点击device program
程序下载完毕后观察实验现象
对应的工程下载地址https://download.csdn.net/download/qq_40033089/10665467

*https://blog.csdn.net/qq_40033089/article/details/82693492*

基于VHDL的vivado2017.4使用教程相关推荐

  1. 左移寄存器vhdl_基于VHDL的移位寄存器设计

    摘 要 本文通过对EDA和VHDL的简单说明,阐述了基于 VHDL硬件描述语言的移位寄存器设计方法,程序简单,在电子 设计中有一定的推广价值. 关键词 移位寄存器   设计  EDA  VHDL 随着 ...

  2. php读取西门子plc_基于Socket访问西门子PLC系列教程(二)

    本文是西门子开放式TCP通信的第2篇,上一篇我们讲了使用西门子1200PLC作为TCP服务器的程序编写,可以点击下方链接阅读:[公众号dotNet工控上位机:thinger_swj] 基于Socket ...

  3. 【深度学习】基于PyTorch的模型训练实用教程之数据处理

    [深度学习]基于PyTorch的模型训练实用教程之数据处理 文章目录 1 transforms 的二十二个方法 2 数据加载和预处理教程 3 torchvision 4 如何用Pytorch进行文本预 ...

  4. 【深度学习】基于Colab Pro的TPU训练模型教程(Tensorflow)

    [深度学习]基于Colab Pro的TPU训练模型教程(Tensorflow) 文章目录 1 概述 2 对比 TPU 与 GPU 的计算速度 3 总结和简易的测试代码 4 为什么使用 TPU 1 概述 ...

  5. javaweb k8s_K8S微服务核心架构学习指南 ASP.NET Core微服务基于K8S 架构师必备Kubernetes教程...

    K8S微服务核心架构学习指南 ASP.NET Core微服务基于K8S 架构师必备Kubernetes教程 课程内容是关于Kubernetes微服务架构学习课程,基于K8S开展ASP.NET核心进行微 ...

  6. 计算机组成原理电子时钟设计与实现,《计算机组成原理》课程设计报告-基于VHDL数字电子钟设计与实现.doc...

    <计算机组成原理>课程设计报告-基于VHDL数字电子钟设计与实现 长沙理工大学 <计算机组成原理>课程设计报告 XXX 学 院 计算机与通信工程 专 业 网络工程 班 级 网络 ...

  7. H2O Wave教程---基于浏览器的实时显示工具---教程01

    H2O Wave教程-基于浏览器的实时显示工具-教程01 0 写在前面 1 开始-动手操作起来 2 分类:一个是脚本,一个是app 3 脚本怎么写 0 写在前面 总结一下自己学习H2Owave的学习情 ...

  8. 基于yaf+yar微服务解决方案教程

    基于yaf+yar微服务解决方案教程 大纲 主要内容 [课程地址](https://edu.csdn.net/course/detail/9933) 大纲 主要内容 课程地址

  9. 基于VHDL的毛刺信号消除

    基于VHDL的毛刺信号消除 摘要:针对FPGA设计过程中常见的毛刺现象问题,研究了用于VHDL语言清除毛刺信号的脉冲选择法与时序逻辑保持法.首先,研究了冒险现象与毛刺信号:其次,总结了数字电路消除毛刺 ...

  10. 基于CentOS7.2的服务器搭建教程《一》

    基于CentOS7.2的服务器搭建教程<一> 搭建前准备 安装开始 配置过程 总结 搭建前准备 系统下载 本次搭建采用的是CentOS7.2,下面是下载链接: 官网:https://www ...

最新文章

  1. java 项目使用 ajaxfileupload
  2. 新的JEP草案将简化Java中的撰写
  3. javabean 连接mysql_连接mysql的javabean实例+简单分页
  4. python 类变量(属性)和实例变量(属性
  5. c++ 程序在内存中的分布
  6. java设计模式之装饰者模式
  7. elasticsearch java对象 驼峰原则_2020年Java基础高频面试题汇总(1.4W字详细解析)...
  8. tp3.2 分析打印查询语句sql
  9. IOS软件工程师课程分享
  10. 微服务架构师的道、法、术
  11. Cholesky分解法
  12. 【Chrome】浏览器控制台设置成中文
  13. 嵌入式linux下的触屏模拟
  14. ACdream 1069 无耻的出题人 无聊写着玩的题
  15. k8s-----安全机制
  16. 从今往后,我就是一名前端攻城狮
  17. [MAUI 项目实战] 音乐播放器(一):概述与架构
  18. VLA的AIPS简单绘图
  19. No suitable application records were found. Verify your bundle identifi
  20. Shiro自定义Realms

热门文章

  1. java转码工具应用程序_java转码工具native2ascii.exe的使用方法
  2. 飘云阁(PYG)番茄插件 弹窗清除
  3. 使用Java中面向对象的思想来实现两个人的一场战斗
  4. 淘宝客高手必备的14大WordPress插件
  5. 某工程质量安全监管平台验证码请求参数params加密破解
  6. 上海电信光猫设置虚拟服务器,你们想要的上海电信光猫桥接+4K IPTV配置流程...
  7. 通过自学可以搭建量化交易模型吗?
  8. 递归算法经典实例python-python实现汉诺塔递归算法经典案例
  9. 优化算法——粒子群算法(PSO)
  10. 超星学习通 吉林大学 程序设计基础 实验07 递归程序设计(2022级)