本文通过对EDA和VHDL的简单说明,阐述了基于

VHDL硬件描述语言的移位寄存器设计方法,程序简单,在电子

设计中有一定的推广价值。

关键词

移位寄存器   设计  EDA  VHDL

随着科学技术的不断进步,尤其是计算机产业的日新月

异,作为计算机一个非常重要的部件——移位寄存器,从最早

只能简单的左右移动功能的寄存器到现在广泛应用的具有寄存

代码、实现数据的串行并行转换、数据运算和数据处理功能的

移位寄存器,它正朝着体小量轻能强的方向不断发展,本文介

绍了利用生成语句设计的16位串入串出移位寄存器的方法。

1 EDA基本介绍

EDA是电子设计自动化(Electronic Design Automation)

的缩写。EDA技术是指以计算机为工作平台,融合了应用电子技

术、计算机技术、信息处理及智能化技术的最新成果,进行电

子产品的自动设计。设计者在EDA软件平台上,用硬件描述语

言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化

简、分割、综合、优化、布局、布线和仿真,直至对于特定目

标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出

现,极大地提高了电路设计的效率和可操作性,减轻了设计者

的劳动强度。而在EDA设计中,经常会用到移位寄存的功能,如

并行传送的数据转换成串行传送的数据时的移位以及乘法器的

部分积右移等。因此,移位寄存起的设计在基于数字系统的设

计中显得十分必要。

2 VHDL简介

VHDL是工业标准的硬件描述语言,称之为VHSIC(Very

High

Speed

Integrated

Circuit

Hardware

Description

Language),简称VHDL。VHDL语言主要用于描述数字系统的结

构,行为,功能和接口。除了含有许多具有硬件特征的语句

外,VHDL的语言形式、描述风格以及语法是十分类似于一般的

计算机高级语言。VHDL的程序结构特点是将一项工程设计,或

称设计实体(可以是一个元件,一个电路模块或一个系统)分

成外部(或称可视部分及端口)和内部(或称不可视部分),即

涉及实体的内部功能和算法完成部分。在对一个设计实体定义

了外部界面后,一旦其内部开发完成后,其他的设计就可以直

接调用这个实体。这种将设计实体分成内外部分的概念是VHDL

系统设计的基本点。

3 移位寄存器

寄存器按照功能的不同分为基本寄存器和移位寄存器两大

类。基本寄存器只能并行送入数据,需要时也只能并行输出。

移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左

移,数据既可以并行输入、并行输出,也可以串行输入、串行

输出,还可以并行输入、串行输出,串行输入、并行输出,十

分灵活,用途也很广。

4 移位寄存器的设计过程

4.1 工作原理

如图所示:串入串出移位寄存器有数据输入端和同步时

钟输入端,一个数据输出端。在同步时钟的作用下,前级的数

据向后级移动。语句GENERATE用来产生多个相同的结构。利用

基于VHDL的移位寄存器设计

景兴红  刘  陈  王泽芳

(重庆正大软件职业技术学院 400056)

GENERATE和D触发器元件dff,可以很方便地设计出16位串入串

出移位寄存器。

4.2 利用VHDL编写程序

(1)D触发器的程序

library ieee;

use ieee.std_logic_1164.all;

entity dff_logic is

port ( d, clk: in std_logic;

q: out std_logic);

end dff_logic;

architecture dff1 of dff_logic is

begin

p1: process (clk)

begin

if (clk ' event and clk = 1 ) then

q <= d;

end if;

end process p;

end dff;

(2)顶层文件-16位移位寄存器的VHDL程序

library ieee  ;

use ieee.std_logic_1164.all;

entity shift_16 is

port (a,clk:in std_logic;

b:out std_logic);

end shift_16;

architecture sample of shift_16 is

component dff

port (d,clk:in std_logic;

q:out std_logic);

end component;

signal z:std_logic_vector (0 to 16);

begin

z(0) <= a;

g1:for i in 0 to 15 generate

dffx:dff port map (z(i),clk,z(i+1));

end generate;

b <= z(16);

end sample;

参考文献

[1]潘松.VHDL实用教程【M】.成都:电子科技大学出版社

.2000;[2]Jayaram.Bhaker.VHDL教程.北京:机械工业出版社

.2006.

左移寄存器vhdl_基于VHDL的移位寄存器设计相关推荐

  1. 计算机组成原理电子时钟设计与实现,《计算机组成原理》课程设计报告-基于VHDL数字电子钟设计与实现.doc...

    <计算机组成原理>课程设计报告-基于VHDL数字电子钟设计与实现 长沙理工大学 <计算机组成原理>课程设计报告 XXX 学 院 计算机与通信工程 专 业 网络工程 班 级 网络 ...

  2. 彩灯控制器课程设计vhdl_基于VHDL的彩灯控制器设计与实现毕业设计(DOC毕业设计论文)...

    基于VHDL的彩灯控制器设计与实现毕业设计(DOC毕业设计论文) <计算机组成原理>课程设计报告目 录任务书 .2摘 要 .5Abstract .61 引 言 71.1VHDL 技术介绍7 ...

  3. 左移寄存器vhdl_双向移位寄存器VHDL设计.doc

    双向移位寄存器VHDL设计.doc 双向移位寄存器 引言 移位寄存器就是指具有移位功能的触发器组,它是在普通寄存器的基础上添加了移位功能的一种特殊的寄存器.通常,移位功能就是指在寄存器里面存储的二进制 ...

  4. 左移寄存器vhdl_双向移位寄存器VHDL设计

    双向移位寄存器 1 . 引言 移位寄存器就是指具有移位功能的触发器组, 它是在普通寄存器的基础上添加了 移位功能的一种特殊的寄存器.通常,移位功能就是指在寄存器里面存储的二进制 数据能够在时钟信号的控 ...

  5. 彩灯控制器课程设计vhdl_基于VHDL的八路彩灯控制器

    v .. . .. . . . 资 料 . . 目录 1. 任务需求 ................................................................. ...

  6. 基于VHDL的层次化设计:异步清零和同步使能4位十六进制加法计数器和七段显示译码器的元件例化实现

    原文:http://blog.csdn.net/Dr_JIA/article/details/45790579 方案一 (本实现方案只编写了一个vhdl文件,计数器和译码器的vhdl描述写在了一个文件 ...

  7. 基于VHDL移位寄存器程序设计

    基于VHDL移位寄存器程序设计 实验目的 (1) 掌握中规模4位移位寄存器逻辑功能及使用方法. (2) 学会用VHDL语言设计4位移位寄存器. 实验原理 移位寄存器是一个具有移位功能的寄存器,是指寄存 ...

  8. 基于VHDL的具有自动乐曲演奏功能的电子琴设计

    具有自动乐曲演奏功能的电子琴设计 先给出设计结果视频链接:    具有自动乐曲演奏功能的电子琴-视频实录 优酷:具有自动乐曲演奏功能的电子琴-视频实录        再给出完整文档和工程代码链接: 基 ...

  9. 基于VHDL的全自动洗衣机控制器设计

    全自动洗衣机控制器设计 <数字电子技术>课程设计报告 <数字电子技术>课程设计任务书 设计内容与要求 1.概述 1.1EDA简介 1.2开发软件quartus简介 2.基本原理 ...

最新文章

  1. C++的error C2668: 'pow' : ambiguous call to overloaded function错误原因及解决方法
  2. 一个故事讲清楚BIO NIO 异步
  3. 使用ABAP和JavaScript代码生成PDF文件的几种方式
  4. linux 消息对lie_Linux系统编程—消息队列
  5. sql 替换字符串函数_你有一份Excel文本函数大全,请注意查收(下)
  6. 深入浅出WPF之我件2
  7. 计算机文献检索试题及答案,文献检索试题及答案.doc
  8. 自动化之RPA工具之UiPath
  9. DWG文件怎么转换成PDF的一分钟实用技巧
  10. 0ctf writeup
  11. 服务器主板内存频率修改,小白也能玩超频 手把手教你将内存频率提升1100MHz
  12. 无刷直流电机最强科普(收藏版)
  13. Linux查看网络连接情况ss,Linux网络状态工具netstat和ss使用详解
  14. Adobe国际认证的脱颖而出,让摸鱼、秃头小宝贝不再是宿命?
  15. 网络高清车载云台摄像机,白光云台摄像机激光云台摄像机
  16. The song from the Chef
  17. 计算机网络的功能有哪些?
  18. MS08067 WEB高级攻防实战班第一期 开班啦~(附最新授课目录)
  19. Python使用百度OCR接口进行验证码图像识别
  20. 基于WebGIS的矿产资源信息管理系统的部署及运维

热门文章

  1. 夏普linux电视安装apk,夏普智能电视安装第三方应用看直播【最新教程】
  2. Mybatis自动生成代码插件generator
  3. l流程图平行四边形_流程图里的形状符号的代表意义
  4. l流程图平行四边形_关于写作那些事之快速上手Mermaid流程图
  5. LED驱动电源不足,都有哪些原因
  6. 晶体三极管的三个工作区域及温度对特性的影响
  7. win7计算机收藏夹位置,win7中收藏夹在哪里 win7找到IE收藏夹的两种方法[多图]
  8. 设计模式 | 为什么要学设计模式?懂兵法才能当好将军
  9. Embedding Label Structures:细粒度特征表示的标签结构嵌入
  10. java 并发编程学习之二 ---- lock