上篇写了双端口RAM设计(同步读写):https://blog.csdn.net/Reborn_Lee/article/details/90647784

关于异步读写和同步读写,在单端口RAM设计中也提到过:https://blog.csdn.net/Reborn_Lee/article/details/90646285

这里就不再叙述了,总之就是和时钟无关了。


下面我们同样会给出Verilog设计和仿真验证。

有了同步读写的Verilog描述,异步简直易如反掌:

`timescale 1ns / 1ps
//
// Create Date: 2019/05/28 22:53:20
// Design Name:
// Module Name: ram_dp_ar_aw
//module ram_dp_ar_aw #(
parameter DATA_WIDTH = 8,
parameter ADDR_WIDTH = 8,
parameter RAM_DEPTH = 1 << ADDR_WIDTH
)(
input [ADDR_WIDTH - 1 : 0] address_0 , // address_0 Input
inout [DATA_WIDTH-1 : 0] data_0    , // data_0 bi-directional
input cs_0      , // Chip Select
input we_0      , // Write Enable/Read Enable
input oe_0      , // Output Enable
input [ADDR_WIDTH - 1 : 0] address_1 , // address_1 Input
inout [DATA_WIDTH-1 : 0] data_1    , // data_1 bi-directional
input cs_1      , // Chip Select
input we_1      , // Write Enable/Read Enable
input oe_1        // Output Enable
); //--------------Internal variables----------------
reg [DATA_WIDTH-1:0] data_0_out ;
reg [DATA_WIDTH-1:0] data_1_out ;
reg [DATA_WIDTH-1:0] mem [0:RAM_DEPTH-1];//initialization// synopsys_translate_off
integer i;
initial beginfor(i=0; i < RAM_DEPTH; i = i + 1) beginmem[i] = 8'h00;end
end
// synopsys_translate_on//--------------Code Starts Here------------------
// Memory Write Block
// Write Operation : When we_0 = 1, cs_0 = 1
always @ (address_0 or cs_0 or we_0 or data_0
or address_1 or cs_1 or we_1 or data_1)
begin : MEM_WRITEif ( cs_0 && we_0 ) beginmem[address_0] <= data_0;end else if  (cs_1 && we_1) beginmem[address_1] <= data_1;end
end// Tri-State Buffer control
// output : When we_0 = 0, oe_0 = 1, cs_0 = 1
assign data_0 = (cs_0 && oe_0 && !we_0) ? data_0_out : 8'bz; // Memory Read Block
// Read Operation : When we_0 = 0, oe_0 = 1, cs_0 = 1
always @ (address_0 or cs_0 or we_1 or oe_0)
begin : MEM_READ_0if (cs_0 && !we_0 && oe_0) begindata_0_out <= mem[address_0]; end else begindata_0_out <= 0; end
end //Second Port of RAM
// Tri-State Buffer control
// output : When we_0 = 0, oe_0 = 1, cs_0 = 1
assign data_1 = (cs_1 && oe_1 && !we_1) ? data_1_out : 8'bz;
// Memory Read Block 1
// Read Operation : When we_1 = 0, oe_1 = 1, cs_1 = 1
always @ (address_1 or cs_1 or we_1 or oe_1)
begin : MEM_READ_1if (cs_1 && !we_1 && oe_1) begindata_1_out <= mem[address_1]; end else begindata_1_out <= 0; end
endendmodule // End of Module ram_dp_ar_aw

仿真同步的做过了,这个会难吗?

虽然这里没用到时钟,但测试文件,我仍可以使用时钟,作为一个时间标尺吧,这样就可以直接用上篇博文的测试文件,我也懒着改了(这里说的直接用,是大体上直接用,但仍需改动例化,以及模块名字什么的,以及参数等等):

先读初始值,什么时候给地址什么时候给数据:

地址0写,地址1读:

双端口同时读:(地址与数据对齐)

最后还是给出测试文件吧:

`timescale 1ns / 1psmodule ram_dp_ar_aw_tb;reg clk       ; // Clock
reg [7 : 0] address_0 ; // address_0 input
wire [7 : 0] data_0    ; // data_0 bi-directional
reg cs_0      ; // Chip Select
reg we_0      ; // Write Enable/Read Enable
reg oe_0      ; // Output Enable
reg [7 : 0] address_1 ; // address_1 input
wire [7 : 0] data_1    ; // data_1 bi-directional
reg cs_1      ; // Chip Select
reg we_1      ; // Write Enable/Read Enable
reg oe_1 ;        // Output Enableinitial beginclk = 0;forever#2 clk = ~clk;
endreg [7 : 0] data_in0; //写数据时候,双向总线与data_in0连接(这样做的目的是保证总线在某一时刻读和写,二者之一有效)
assign data_0 = (cs_0 && we_0 && !oe_0) ? data_in0 : 8'dz;reg [7 : 0] data_in1; //写数据时候,双向总线与data_in1连接(这样做的目的是保证总线在某一时刻读和写,二者之一有效)
assign data_1 = (cs_1 && we_1 && !oe_1) ? data_in1 : 8'dz;integer i = 0;initial begin
oe_0 = 0;
oe_1 = 0;
we_0 = 0;
we_1 = 0;
cs_0 = 0;
cs_1 = 0;
address_0 = 0;
address_1 = 0;
data_in0 = 0;
data_in1 = 0;
//先读出初识值(两套地址一起读)
#4
cs_0 = 1;
cs_1 = 1;
oe_0 = 1;
oe_1 = 1;for(i = 0; i < 256; i = i + 1) begin@(negedge clk) beginaddress_0 = i;address_1 = i;endend//地址0写,地址1读@(negedge clk) beginwe_0 = 1;we_1 = 0;oe_0 = 0;oe_1 = 1;endfor(i = 0; i < 256; i = i + 1) begin@(negedge clk) beginaddress_0 = i;data_in0 = data_in0 + 1;address_1 = i;endend//地址0读·,地址1读@(negedge clk) beginwe_0 = 0;we_1 = 0;oe_0 = 1;oe_1 = 1;endfor(i = 0; i < 256; i = i + 1) begin@(negedge clk) beginaddress_0 = i;address_1 = i;endend//结束吧,片选结束
@(negedge clk) begin
cs_0 = 0;
cs_1 = 0;
end#100 $stop;endram_dp_ar_aw #(
.ADDR_WIDTH(8), //给参数
.DATA_WIDTH(8)) u_ram(
.address_0(address_0),
.data_0(data_0),
.cs_0(cs_0),
.we_0(we_0),
.oe_0(oe_0),
.address_1(address_1),
.data_1(data_1),
.cs_1(cs_1),
.we_1(we_1),
.oe_1(oe_1)
);endmodule 

参考链接:http://www.asic-world.com/examples/verilog/ram_dp_ar_aw.html

【FPGA】双端口RAM的设计(异步读写)相关推荐

  1. 【FPGA】双端口RAM的设计(同步读写)

    之前的博文都是讲单端口RAM的,它们仅有一套控制输入,例如cs,we,oe,还有数据总线以及地址. [FPGA]单端口RAM的设计(同步读.同步写) 附上太多链接,我也累,自己找吧. 双端口RAM,顾 ...

  2. 【正点原子FPGA连载】第十九章IP核之双端口RAM实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    1)实验平台:正点原子新起点V2开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=609758951113 2)全套实验源码+手册+视频下载地址:ht ...

  3. 【FPGA】单端口RAM的设计(异步读、异步写)

    前面有博文写了同步读写和异步读.同步写的单端口RAM设计: [FPGA]单端口RAM的设计(同步读.同步写) [FPGA]单端口RAM的设计(异步读.同步写) 这篇博文讲异步读写: 在博文:[FPGA ...

  4. 数字IC设计系列----单端口RAM、双端口RAM、同步FIFO、异步FIFO

    目录​​​​​​​ 一.单端口RAM原理及实现 1.1.原理 1.2.Verilog实现 1.3.优缺点分析 2.双端口RAM原理及实现 2.1.原理 2.2.Verilog实现 2.3.优缺点分析 ...

  5. 【FPGA】单端口RAM的设计(异步读、同步写)

    上篇博文讲到了:单端口同步读写RAM的设计,那里对RAM的读写采用的是同步的方式,也就是和时钟同步,读写都依赖于时钟. 这篇博文,我们的写依然是同步的,但是读是异步的,所谓的异步就是指不依赖于时钟,这 ...

  6. FPGA 基于双端口RAM的串口通信系统

    FPGA实验报告 文章目录 一.概述 1.目的及意义: 2.主要功能: 二.原理及步骤 1.原理框图: 2.工作原理 3.功能模块简介 4.实验步骤 三.程序设计及描述 四.仿真与综合测试 五.总结 ...

  7. (195)FPGA编程:双端口RAM(一)

    (195)FPGA编程:双端口RAM(一) 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA编程:双端口RAM(一): 5)结束语. 1.1.2 本节引言 &qu ...

  8. 【FPGA】单端口RAM的设计(同步读、同步写)

    Single Port RAM  Synchronous Read/Write 这篇博文介绍单端口同步读写RAM,在之前的博文中,也介绍过类似的设计:[Verilog HDL 训练]第 13 天(存储 ...

  9. FPGA RAM存储器设计

    1.1 FPGA RAM存储器设计 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA RAM存储器设计: 5)结束语. 1.1.2 本节引言 "不积跬步 ...

最新文章

  1. 进程调度算法Java代码
  2. android纹理存储,android纹理文本
  3. datagrid只传入了一部分的数据 未显示全_软件开发面试之数据库事务篇
  4. 解决公众号的加载问题
  5. 本地如何搭建IPv6环境测试你的APP
  6. Python项目实战:爬去所有相关的音乐文件播放链接
  7. 数据库的主键与外键的区别
  8. WinForm中为按钮添加键盘快捷键,超简单,亲测有效
  9. 浅谈FOF场外投资交易流程
  10. 规划--如何成为一名web安全工程师
  11. MATLAB图形用户界面设计(GUI)
  12. 第十五章 输入/输出
  13. VMware Workstation未能挂起虚拟机
  14. 1:25万全国地形数据库说明(转)
  15. 自己制作的直流电机驱动器
  16. 丨EGFR FISH 探针解决方案
  17. 什么是机器人编程课程
  18. 在阿里云中搭建大数据实验环境
  19. 二十六、rosbag功能包
  20. 老师利用计算机分析学生成绩属于什么,计算机二级考试真题-Excel-小蒋-老师学生成绩分析...

热门文章

  1. 移动互联网的创新与91生态
  2. 【心情】还有5分钟回苏州了!
  3. python里dir是什么意思_python中dir什么作用
  4. float gpu 加速_tensorflow - GPU 加速
  5. join为什么每个字符都分割了 js_js的join()与 split() (转)
  6. java 之 面向对象
  7. python猜年龄代码_python入门教程NO.7用python来写一个猜数字游戏
  8. bios设置 联想m8000t_联想怎样设置双显卡模式 联想设置双显卡模式方法【详解】...
  9. excel随机数_Excel使用函数生成1100的不重复随机数 2种方法
  10. Java基础看jvm,JAVA基础知识|java虚拟机(JVM)