Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显示地进行时序建模。
Verilog HDL语言具有设计的行为特性、设计的数据特性、设计的结构组成以及包含响应监控和设计方面的时延和波形产生机制。Verilog HDL语言还提供编程语言接口,通过接口模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。

Verilog HDL语言主要能力

  1. 基本逻辑门
  2. 用户定义原语UDP创建的灵活性
  3. 开关基本结构模型
  4. 提供显示语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查
  5. 可采用三种不同方式或混合方式对设计建模。方法包括:行为描述方式-使用过程化结构建模;数据流方式-使用连续赋值语句方式建模;结构化方式-使用门和模块实力语句描述建模
  6. Verilog HDL有两种数据类型:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件
  7. 能够描述层次设计,可使用模块实例结构描述任何层次
  8. 设计规模任意
  9. 使用门和模块实例化语句在结构级进行结构描述。
  10. 可以显示地并发和定时进行建模
  11. 提供强有力的文件读写能力
  12. 语言在特定情况下是非确定性的,即在不同的模拟器上模型可以产生不同的结果

什么是Verilog HDL?相关推荐

  1. 关于Verilog HDL的一些技巧、易错、易忘点(不定期更新)

    本文记录一些关于Verilog HDL的一些技巧.易错.易忘点等(主要是语法上),一方面是方便自己忘记语法时进行查阅翻看,另一方面是分享给大家,如果有错的话,希望大家能够评论指出. 关键词: ·技巧篇 ...

  2. (多图) 基于Verilog HDL的FIR数字滤波器设计与仿真

    引言:数字滤波器是语音与图像处理.模式识别.雷达信号处理.频谱分析等应用中的一种基本的处理部件,它能满足波器对幅度和相位特性的严格要求,避免模拟滤波器所无法克服的电压漂移.温度漂移和噪声等问题.有限冲 ...

  3. 移位寄存器专题(verilog HDL设计)

    目录 移位寄存器简介 分类 4位右移位寄存器工作原理 1. 16位右移位寄存器 2. 16位左移寄存器 3. 串行输入并行输出寄存器 4. 并行输入串行输出移位寄存器 移位寄存器简介 移位寄存器内的数 ...

  4. 【Verilog HDL 训练】第 11 天(分频电路)

    设计一个占空比50%的三分频电路. 针对这个分频器,博文的末尾会给出一个反面教材,这是我上次写的一个分频器,看起来很好,其实是不能综合的.针对其中的错误,我令立博文记录之:[ Verilog ]alw ...

  5. 【Verilog HDL 训练】第 06 天(边沿检测)

    1. 复习verilog语法 [选做题] - reg和wire的区别 寄存器数据类型 Verilog中规定,凡是在程序块中被赋值的变量,都必须是寄存器类型的.(程序块:例如always块) 这里未免还 ...

  6. SPI的原理以及Verilog HDL实现

    文章链接:SPI https://www.diangon.com/wenku/rd/danpianji/201501/00017903.html SPI是同步串行通信接口.  SPI是英语Serial ...

  7. 【 FPGA 】MATLAB 生成 FIR 滤波器的操作步骤(包括生成Verilog HDL代码以及仿真过程)

    使用MATLAB生成滤波器有很多学问,这里只是作为初步的探索,和FPGA的更多结合,也正在探索中,相关博文例如:[ FPGA ]FIR滤波器目录,该专题目录正在记录我学习FIR滤波器的过程. MATL ...

  8. 【 Verilog HDL 】赋值冲突问题

    最近在看<FPGA之道>,对此爱不释手,真是开卷有益!很想收藏一本,可惜买不到了. 进入正题,今天记录这篇笔记,应该是学习使用Verilog HDL描述硬件电路时都会遇到的问题,记录下来, ...

  9. 【 Verilog HDL 】正确的变量访问思路

    以前对这个话题也写了至少两次了,很多人在编写HDL程序时候,也时常遇到这个问题,那就是多驱动问题,今天终于看到了规范的说法了. Modelsim下进行功能仿真没问题,可是在ISE综合报错,如何解决? ...

  10. 【 Verilog HDL 】避免出现锁存器的组合电路描述方式

    无论多么复杂的FPGA设计,如果我们将其中具有存储功能的机构(寄存器.RAM.FIFO等)全部拿掉,那么剩下的若干独立数字电路网络则都是纯组合逻辑电路,对应的,我们称FPGA设计中这些具有存储功能的结 ...

最新文章

  1. Linux下软件安装方法汇总
  2. 一个美国老工程师的心理话: 给年轻工程师的十大忠告
  3. Winform控件WebBrowser与JS脚本交互
  4. [bash]删除文件中含特定字符串的行
  5. 微型计算机中最小的单位,微型计算机中最小的数据单位是
  6. LeetCode 576. 出界的路径数(动态规划)
  7. MySQL使用规范手册,程序员必知必会
  8. 万年历单片机课程设计百度文库_单片机课程设计-万年历
  9. 谷歌生物医学专用翻译_需要外文文献翻译助攻?收下这几个神器!
  10. Kattis- 3D Printed Statues
  11. BFS 寻找矩阵中两点之间的最短距离
  12. android动画 行星,AndroidAnimation
  13. echarts 5.x 版本词云无法正常显示问题
  14. Java笔试真题及参考答案
  15. PDManer[元数建模]-v4.1.0发布:一款简单好用的数据库建模平台
  16. 基于MATLAB GUI的数字滤波仿真平台设计
  17. 硬盘分区损坏后数据恢复方法[分区恢复,分区修复,分区丢失,数据恢复,数据丢失,数据修复]
  18. js 一段语音转换为文字 (暂时没有测试)
  19. ArcGIS之宗地分割与编号
  20. 300元买什么蓝牙耳机性价比高?300左右性价比高的蓝牙耳机推荐

热门文章

  1. 曾国藩:从30岁起,脱胎换骨
  2. 2021年三季度中国通用设备行业A股上市企业营收排行榜:22家企业自2017年起第三季度的净利润逐年递增(附热榜TOP100详单)
  3. transporter上传卡正在交付_Transporter上传卡在—正在验证 APP
  4. 一条Sql的执行过程
  5. loj #6046. 「雅礼集训 2017 Day8」爷
  6. R 多变量数据预处理_R语言常用统计方法包+机器学习包(名称、简介)
  7. php落伍,一贴学会PHP 为落伍的MJJ们量身定做的教程
  8. [USACO 2017 Dec P]Greedy Gift Takers-----二分+推理
  9. Linux高级应用(十)控制蜂鸣器的应用程序
  10. 【干货】营销拓客思维导图24式.pdf(附下载链接)