设置打印信息的冗余度阈值
UVM通过冗余度级别的设置提高了仿真日志的可读性。在打印信息之前,UVM会比较要显示信息的冗余度级别与默认的冗余度阈值,如果小于等于阈值,就会显示,否则不会显示。默认的冗余度阈值是UVM_MEDIUM,所有低于等于UVM_MEDIUM(如UVM_LOW)的信息都会被打印出来。
可以通过get_report_verbosity_level函数得到某个component的冗余度阈值:

virtual function void connect_phase(uvm_phase phase);$display("env.i_agt.drv's verbosity level is %0d", env.i_agt.drv.get_report_verbosity_level());
endfunction

这个函数得到的是一个整数,它代表的含义如下所示:

typedef enum
{
UVM_NONE = 0,
UVM_LOW = 100,
UVM_MEDIUM = 200,
UVM_HIGH = 300,
UVM_FULL = 400,
UVM_DEBUG = 500
} uvm_verbosity;

UVM提供set_report_verbosity_level函数来设置某个特定component的默认冗余度阈值。
在base_test中将driver的冗余度阈值设置为UVM_HIGH(UVM_LOW、UVM_MEDIUM、UVM_HIGH的信息都会被打印)代码为:

//base_test.sv
16 virtual function void connect_phase(uvm_phase phase);
17 env.i_agt.drv.set_report_verbosity_level(UVM_HIGH);
…
21 endfunction

由于需要牵扯到层次引用,所以需要在connect_phase及以后的phase才能调用这个函数。如果不牵扯到任何层次引用,如设置当前component的冗余度阈值,那么可以在connect_phase之前调用。
set_report_verbosity_level只对某个特定的component起作用。
UVM同样提供递归的设置函数set_report_verbosity_level_hier,如
把env.i_agt及其下所有的component的冗余度阈值设置为UVM_HIGH的代码为:
env.i_agt.set_report_verbosity_level_hier(UVM_HIGH);
set_report_verbosity_level会对某个component内所有的uvm_info宏显示的信息产生影响。

如果这些宏在调用时使用了不同的ID:
uvm_info("ID1", "ID1 INFO", UVM_HIGH) uvm_info(“ID2”, “ID2 INFO”, UVM_HIGH)
那么可以使用set_report_id_verbosity函数来区分不同的ID的冗余度阈值:
env.i_agt.drv.set_report_id_verbosity("ID1", UVM_HIGH);
经过上述设置后“ID1 INFO”会显示,但是“ID2 INFO”不会显示。
这个函数同样有其相应的递归调用函数,其调用方式为:
env.i_agt.set_report_id_verbosity_hier("ID1", UVM_HIGH);
除了在代码中设置外,UVM支持在命令行中设置冗余度阈值:

<sim command> +UVM_VERBOSITY=UVM_HIGH
或者:
<sim command> +UVM_VERBOSITY=HIGH

这两个命令行参数是等价的,即可以把冗余度级别的前缀“UVM_”省略。
上述的命令行参数会把整个验证平台的冗余度阈值设置为UVM_HIGH。它几乎相当于是在base_test中调用
set_report_verbosity_level_hier函数,把base_test及以下所有component的冗余度级别设置为UVM_HIGH:
set_report_verbosity_level_hier(UVM_HIGH)
对不同的component设置不同的冗余度阈值非常有用。在芯片级别验证时,重用了不同模块(block)的env。由于个人习惯的不同,每个人对信息冗余度的容忍度也不同,有些人把所有信息设置为UVM_MEDIUM,也有另外一些人喜欢把所有的信息都设置为UVM_HIGH。通过设置不同env的冗余度级别,可以更好地控制整个芯片验证环境输出信息的质量。

重载打印信息的严重性
重载是深入到UVM骨子里的一个特性。UVM默认有四种信息严重性:UVM_INFO、UVM_WARNING、UVM_ERROR、UVM_FATAL。这四种严重性可以互相重载。如果要把driver中所有的UVM_WARNING显示为UVM_ERROR,可以使用如下的函数:

//base_test.sv
16 virtual function void connect_phase(uvm_phase phase);
17 env.i_agt.drv.set_report_severity_override(UVM_WARNING, UVM_ERROR);
18 //env.i_agt.drv.set_report_severity_id_override(UVM_WARNING, "my_driver", UVM_ERROR);
19 endfunction

假如在my_driver中有如下语句:

//my_driver.sv
29 `uvm_warning("my_driver", "this information is warning, but prints as UVM_ERROR")

如果不加任何设置,那么输出应该是:
UVM_WARNING my_driver.sv(29) @ 1100000: uvm_test_top.env.i_agt.drv [my_driver]this information is warning
但是经过代码清单3-58的设置后,输出变为:
UVM_ERROR my_driver.sv(29) @ 1100000: uvm_test_top.env.i_agt.drv [my_driver] this information is warning
重载严重性可以只针对某个component内的某个特定的ID起作用:
env.i_agt.drv.set_report_severity_id_override(UVM_WARNING, "my_driver", UVM_ERROR);
与设置冗余度不同,UVM不提供递归的严重性重载函数。严重性重载用的较少,一般的只会对某个component内使用,不会递归的使用。
重载严重性也可以在命令行中实现,其调用方式为:
<sim command> +uvm_set_severity=<comp>,<id>,<current severity>,<new severity>
如代码清单3-60可以使用如下的命令行参数代替:
<sim command> +uvm_set_severity="uvm_test_top.env.i_agt.drv,my_driver,UVM_WARNING,UVM_ERROR"
若要设置所有的ID,可以在id处使用_ALL_:
<sim command> +uvm_set_severity="uvm_test_top.env.i_agt.drv,_ALL_,UVM_WARNING,UVM_ERROR"

uvm_verbosity sim_verbosity = UVM_LOW;
if(!$value$plusargs("UVM_VERBOSITY=%s", sim_verbosity)) beginset_report_verbosity_level_hier(sim_verbosity);
end

UVM中设置打印信息的冗余度阈值和重载打印信息的严重性相关推荐

  1. UVM:3.4.1 设置打印信息的冗余度阈值

    1.UVM通过设置冗余度级别提供了日志的可读性.如果小于等于阈值,就会显示,否则不会显示.默认的是UVM_MEDIUM. 2.get_report_verbosity_level:得到某个compon ...

  2. uvm打印信息冗余度和重载打印信息

    1.关于冗余度 uvm中冗余度设置主要由以下几种: UVM_NONE(表示uvm设置冗余度为0单位): UVM_LOW(表示uvm设置冗余度为100单位): UVM_MEDIUM(表示uvm设置冗余度 ...

  3. 信息时代的必修课:冗余度(善用信息冗余成为沟通的高手)

    文章目录 引言 I 冗余度 1.1 冗余度的定义 1.2 冗余度的好处 1.3 信息冗余的问题 1.4 善用信息冗余 引言 冗余度带来的好处:易理解.消歧义和容错性. 信息冗余的问题:造成信息存储和传 ...

  4. UVM 中的消息机制

    UVM消息属性 UVM 中的消息有三种属性,分别是:严重度(severity).冗余度(verbosity).以及消息的关联行为,此外还有消息的标签 ID.UVM 的消息机制基于该三种属性和标签 ID ...

  5. shell中设置文字输出的颜色及字体格式

    shell中设置文字输出的颜色及字体格式 1.Linux中设置文本颜色及背景色 Shell 使用 ANSI 转义码 (ANSI escape codes) 进行颜色输出.转义序列颜色输出表如下 颜色 ...

  6. UVM中factory机制的使用

    UVM中的factory机制一般用在sequence的重载,尤其是virtual sequence.当Test_case变化时,通过virtual sequence的重载,可以很容易构建新的测试. 因 ...

  7. 信息的相关性和冗余度:信息在整个文明中的作用

    文章目录 I 古埃及的象形文字 1.1 罗塞塔石碑 1.2 古埃及文字音节和希腊字母的对应表 1.3 破解古埃及文字 I 古埃及的象形文字 1.1 罗塞塔石碑 这个石碑是在公元前196年埃及国王托勒密 ...

  8. 在子类中对get(), set() 方法进一步整合 减小代码冗余度

    前言: 我们都知道,封装是面向对象的核心思想.通常要对一些类进行封装(即属性private化,只提供一套public的get(),set()方法供外界访问),而当一个类的属性较多时候,那么创建一个该类 ...

  9. yii 1.4 constant.php,yii 中设置提示成功信息,错误提示信息,警告信息

    方法一: Yii::app()->user->setFlash('success',"Data saved!"); 设置键值名为success的临时信息.在getFla ...

最新文章

  1. JSP显示页面和数据库乱码
  2. 临近期末,图书管理系统课设项目安排上(附源码)
  3. 业界首发丨网易云信虚拟人解决方案,首创客户端+云端双渲染技术
  4. docker 查看虚拟网卡_最简单的免费虚拟化方案:Hyper-V Server + Windows Admin Center
  5. 快速排序 与 归并排序
  6. VideoMatch: Matching based Video Object Segmentation
  7. paip.svn 导入项目到SVN库
  8. 经济应用文写作【7】
  9. mysql 迁移 myd_mysql文件*.opt *.frm *.MYI *.MYD的迁移
  10. 电脑可以上QQ但是打不开网页
  11. 央视315曝光科技企业未击中痛点
  12. 已解决-Mounty 挂载NTFS报错:卷“BOOTCAMP“不可重新挂载
  13. IDEA 2019.1 注册码激活
  14. 如何让游戏里的 NPC 拥有自己的意志?
  15. ads的项目下的文件全部消失不见了
  16. 【Cesium】加载互联网地图服务——天地图
  17. 修改共享计算机主机名,怎么修改计算机的主机名,和所在的工作组
  18. 相关旅游专业的计算机课程,高职旅游管理专业计算机课程项目化教学设计论文...
  19. Win10 禁用自动更新
  20. OSM地图本地发布(四)-----Geoserver发布自定义地图

热门文章

  1. 现在才发现:敏捷迭代的好处实在太多了
  2. 谁泄露了你的信息? 警惕大数据泄露个人信息
  3. 汽车CAN总线分析仪
  4. 计算机是如何组成的?
  5. c语言控制led数码管,数码管LED
  6. Unity如何实现VR漫游
  7. 阿里云研究员马涛:龙蜥社区做对了两件事
  8. 情景规划 Scenario 方法 Ilog Cplex 建模
  9. 土壤水势传感器是什么?和张力计有什么区别
  10. 设计一个串行数据检测电路. 当连续出现4个和4个以上的1时, 检测输出信号为1, 其余情况下的输出信号为0(设计1111序列检测电路)