1.UVM通过设置冗余度级别提供了日志的可读性。如果小于等于阈值,就会显示,否则不会显示。默认的是UVM_MEDIUM。

2.get_report_verbosity_level:得到某个component 的冗余度阈值:

在my_driver中设置:

编译报错:

不知道怎么改。。先换到其他位置:

报告:

是 200。

含义是:

3.set_report_verbosity_level:设置某个特定的component 冗余度。

driver里面两个UVM_HIGH 的info。

base_test里设置为UVM_HIGH。仿真,两条都打印。改成UVM_MEDIUM,两条都不打印。

1)由于牵涉到层次引用,要在connect_phase即以后的phase才能调用。

2)如果不牵涉层次引用,如设置当前component的冗余度,可以在connect_phase之前调用

4.set_report_verbosity_level_hier:上一个只能设置一个component,这个可以设置其下所有components:

5.set_report_id_verbosity区分不同的iD冗余度阈值:

6.set_report_id_verbosity_hier:即设置递归,有设置ID:

7.还可以在命令行中设置冗余度:

<sim command> +UVM_VERBOSITY=UVM_HIGH 或者 <sim command> +UVM_VERBOSITY=HIGH。相当于把base_test 及其以下所有都设置为UVM_HIGH。

UVM:3.4.1 设置打印信息的冗余度阈值相关推荐

  1. UVM中设置打印信息的冗余度阈值和重载打印信息的严重性

    设置打印信息的冗余度阈值 UVM通过冗余度级别的设置提高了仿真日志的可读性.在打印信息之前,UVM会比较要显示信息的冗余度级别与默认的冗余度阈值,如果小于等于阈值,就会显示,否则不会显示.默认的冗余度 ...

  2. Synopsys验证VIP学习笔记(6)检查和打印信息控制

    文章目录 禁用特定的协议检查 禁用Monitor的协议检查 禁用消息打印 UVM的打印信息控制方法 在使用VIP时,出于对仿真性能和环境效率的考虑,可以关闭VIP中的一些检查和打印信息.当然,屏蔽的前 ...

  3. viso画图如何调整尺寸大小及设置打印【viso使用技巧篇】

    viso是一款miscrosoft的画图工具,特点在于简单方面,可以根据个性化需要画出基本的结构图. 有的时候需要调整图的尺寸,调整打印的大小或者去掉分页符. 本文就将自己尝试过的一点经验分享如下: ...

  4. uniapp app蓝牙打印_给编程器加装蓝牙串口模块,用手机APP操作打印信息进控制台...

    公众号回复[编程器]可下载蓝牙串口APP 专用蓝牙串口模块购买: https://item.taobao.com/item.htm?id=619731291566 编程器加装蓝牙模块后,可以方便的使用 ...

  5. uvm打印信息冗余度和重载打印信息

    1.关于冗余度 uvm中冗余度设置主要由以下几种: UVM_NONE(表示uvm设置冗余度为0单位): UVM_LOW(表示uvm设置冗余度为100单位): UVM_MEDIUM(表示uvm设置冗余度 ...

  6. uvm里如何插入断点_UVM——控制打印信息

    通过控制component打印信息的行为,提高日志的可读性. uvm_component 直接继承自uvm_report_object,所以component继承了一些设置report的函数. 打印信 ...

  7. printk在应用层的设置方式及读取内核打印信息的方法

    如果 printk 中没有加调试级别,则使用默认的调试级别.注意,调试级别和格式化字符串之间没有逗号.当前控制台的各打印级别可以通过下面的命令来查看. # cat /proc/sys/kernel/p ...

  8. Unity中Debug打印信息的颜色设置

    为了更好的识别打印信息,这里封装了一下打印信息的工具类,虽然Unity中已经很好的识别..但是自己还是想实现新的工具类 DebugBase脚本: using System.Collections; u ...

  9. 【linux】Valgrind工具集详解(三):打印信息说明

    一.打印信息格式 Valgrind打印信息的格式如下,很容易和程序输出信息区分出来 == 进程ID ==Valgrind的打印信息 二.打印到何处 1.打印到文件描述符中 主要是设置打印到终端上,默认 ...

最新文章

  1. Java+eclipse的配置
  2. C++ ACM模式输入输出
  3. 常用JavaScript 收集
  4. Centos6安装zabbix2.4初始化部署
  5. search engine
  6. 【招聘】智联NLP算法工程师
  7. python读取fiddler_Python3.x+Fiddler抓取APP数据
  8. win10多合一原版系统_win10多合一原版系统
  9. 万兆网络传输速度测试_Intel万兆网卡的真实测试万兆速度的方法
  10. C++中两个栈实现一个队列
  11. 另辟蹊径 直取通州的“墨迹天气”APP应用的成功故事
  12. 服装erp系统的设计方案
  13. Flink的State与Rescale
  14. 笔记本电脑无线网络连接不上怎么办
  15. 识别喜欢开发的程序员
  16. 实例011阳阳买苹果
  17. 解决方案——笔记本HDMI外接2k显示器如何调2k分辨率
  18. Windows+Anaconda+tensorflow+keras深度学习框架搭建--reproduced
  19. 网银显示服务器无效应,网银U盾报错“系统智能卡服务未启动”的解决方案
  20. 回忆,这一年(华师918血泪贴)

热门文章

  1. 陕甘回变——关陕残月(一)
  2. vue 2.0系列QQ音乐播放器案例
  3. [HNOI 2012]永无乡
  4. zuk z2刷机android p,zuk z2p 解锁详细步骤
  5. rqnoj 682 wikioi 1285 noi2004 郁 闷 的 出 纳 员
  6. Java字符串String相关
  7. Incorrent string value: '\xEF\xBB\xBF'
  8. PTX-TK-PEG-NH2 紫杉醇-酮缩硫醇-聚乙二醇-氨基的制备
  9. 触发器原理与使用方法
  10. 微信小程序那点事儿(必看踩坑实用解决BUG)------持续更新(2)