《 计数器的设计》

电子技术课程设计说明书


目录

《 计数器的设计》

电子技术课程设计说明书

引言

方案比较

电路设计

电路的仿真

结论

参考文献:


  • 引言

计数是一种最简单基本的运算。 计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。 计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。本设计为计时器,其作用范围在0~99,应用了显示数码管来显示计数。


  • 方案比较

  1. 基于51单片机的计数器

单片机基础实验将会通过在Proteus软件中画原理图,然后Keil软件下编写源程序并编译形成可执行文件.hex,下载源程序,进行Protues和Keil的联合仿真运行,最终对单片机仿真。

在MCS-51系列单片机中,定时器/计数器(T0、T1)具有多种工作方式,当选择工作方式不同,定时/计数器的使用方法差别很大。MCS-51单片机片内的定时器/计数器可以通过对特殊功能寄存器TMOD中的控制位 的设置来选择定时器方式或计数器方式;通过对M1 M0两位的设置来选择定时器/计数器 设计大致如下电路,编写程序

  1. 基于数字电路模拟电路的计数

基于以上原理设计电路如下所示

基于理论知识和多种因素选择基于数字电路模拟电路设计计数器


  • 电路设计

  1. 总电路图

  1. 相关器件器件
  • 计数器件74LS160D

74LS160是常用的数字逻辑芯片,为十进制计数器,具有计数、置数、禁止清零等功能,其内部是由D触发器和逻辑门电路构成的。芯片具有两个使能端ENP和ENT,高电平有效,具有一个清零端MR,低电平有效,在计数时需要接高电平。D0-D3是并行输入,Q0-Q3是输出端,而且具有进位端RCO。工作在计数模式时,ENP和ENT两个使能端接高电平,MR清零端接高电平使其无效,置数引脚LOAD接高电平,通过在CLK引脚输入脉冲信号来触发计数。

1)预置数的设计

74LS160芯片的ABCD四个输入是预置数的初始值,当连接+5V电压时表示连接1,当悬空时表示连接0,这里我们用四个开关控制初始值的输入如下图所示

预置数的设计

74LS160芯片的ENP、ENT是使能端当其都为1时,芯片才工作。RCO是进位输出端,当输出到达1001时RCO输出1。所以将低位芯片的RCO连接到高位芯片的ENP、ENT,这样每当低位芯片工作-个周期时高位芯片才计数为1。

2)100以内的任意进制需要两片160进行级联

芯片级联

  • 译码器件74LS47D

74LS47是BCD-7段数码管译码器/驱动器, 74LS47的功能用于将BCD码转化成数码块中的数字,通过它解码, 可以直接把数字转换为数码管的显示数字。 74LS47为低电平作用。 译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器

  • 74ls04d

74ls04是带有6个非门的芯片,是六输入反相器,也就是有6个反相器,它的输出信号与输入信号相位相反。六个反相器。共用电源端和接地端,其它都是独立的。输出信号手动负载的能力也有一定程度的放大。反相器是可以将输入信号的相位反转180度。

  • 共阳极的数码管

 LED数码管实际上是由七个发光管组成8字形构成的,加上小数点就是8个。这些段分别由字母a,b,c,d,e,f,g,dp来表示。当数码管特定的段加上电压后,这些特定的段就会发亮,以形成我们眼睛看到的 2个8数码管字样了。。下图是共阳极数码管的内部电路

1)连接七段显示管

1.二进制显示连接法如下所示

二进制显示连接法

2.十进制显示连接法

十进制显示连接法

  • 555信号发生器

555定时器是一种多用途的,集数字、模拟于一体的中规模集成电路,其应用极为广泛。它不仅用于信号的产生和变换,还常用于控制和检测电路中。由于使用灵活、方便,故而在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到了广泛应用。555定时器分为双极型和CMOS两种类型,它们的结构及其工作原理基本相同岁,没有本质的区别。一般来说,双极型定时器的驱动能力较强,电源电压范围为5~16V,最大的负载电流可以达到200 mA。而CMOS定时器的电源电压范围为3~18V,最大负载电流在4 mA一下,它具有功耗低、输入阻抗高等优点。本次课程设计选用555信号发生器作为生成时钟信号的发生装置。

  1. 模100内任意进制计数器设计总图如下图所示

模100计数器

预置数为0000000,一个周期为0000000到1100011即模为100。


  • 电路的仿真

(1设置时钟脉冲频率,时钟脉冲的频率设置为1HZ

设置时钟脉冲频率

(2)开始仿真

(2)实物结果

打开电源开关,数目管显示数字,自00开始显示已时钟信号所设频率进行计数,至99后清零从新开始计数
开始计数:

计数至99:

清零重新开始计数:


  • 结论

电子技术课程设计是学习阶段一次非常难得的理论与实际相结合的机会,虽然内容繁多,过程繁琐但我们的收获却更加丰富。

通过这次比较完整的基于Multisim加法计数器的仿真,我们摆脱了单纯的理论知识学习状态,锻炼了我们综合运用所学的专业基础知识,解决实际工程问题的能力。本设计采用了2片74LS160芯片进行级联通过置数法来实现100以内任意进制的计数器的仿真。

同时也提高我们查阅文献资料、设计手册、设计规范以及电脑制图等其他专业能力水平。而且通过对整体的掌控,对局部的取舍,以及对细节的斟酌处理,都使我们的能力得到了锻炼,经验得到了丰富。这是我们都希望看到的也正是我们学习电子技术课程设计的目的所在。正是这一次锻炼让我们积累了无数实际经验,使我们的头脑更好的被知识武装了起来,也必然会让我们在未来的工作学习中表现出更高的应变能力,更强的沟通和理解力。


参考文献:

1、《电路分析》

2、《数字电子技术》

3.《模拟电子技术基础简明教程》

4、《电子工艺实习》

5、《电子课程设计指导》

计数器的设计--电子技术课程设计说明书--模99相关推荐

  1. 数字电子技术课程设计——盲人报时钟

    数字电子技术课程设计 盲人报时钟 任务书 0.1  设计课题 盲人报时钟 0.2  设计目的 (1)     掌握盲人报时钟的设计.组装和调试方法. (2)     掌握声响模块的设计. 0.3  设 ...

  2. 数字电子技术课程设计-八路抢答器

    数字电子技术课程设计-八路抢答器 大学生活逐渐虚度光阴,不知道干了啥都已经快大三了~~~~ 做的东西还是有一些bug,到最后答辩完事之后就开始复习期末考试了,没时间再整 有错误请指正 目录 第一章 课 ...

  3. 浙江理工大学数字电子技术课程设计

    <数字电子技术课程设计>报告 班级:      20计算机科学与技术(3)班         学号:             2020329621193                姓名 ...

  4. 《数字电子技术课程设计》课程笔记(二)————multisim仿真模拟

    仿真源文件:链接:百度网盘 请输入提取码 提取码:1234 一.前言 对于一个完整的设计过程而言,仿真是一个必不可少的过程,因为仿真给了你一个重要的数据参考,信心来源,在之后的硬件设计过程中,心中的引 ...

  5. 电子技术课程设计——西南交大

    电子技术课程设计--西南交大 昨天终于把这个课设搞好了,在这里记录一下我遇到的问题与相应的解决办法. 我们的选题是数字气压计,完成了基本的测试温度与气压数据,还完成了测量高度和WiFi传输APP显示功 ...

  6. 彩灯循环控制系统 电路与电子技术 课程设计

    设计目的 本次课程设计要设计一个彩灯循环控制器.首先要分析设计要求,从要实现四花样入手推导出要使用的芯片.可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的 ...

  7. 数字电子技术课程设计之基于触发器的三位二进制同步减法计数器无效态000/110

    基于触发器的三位二进制同步减法计数器无效态000/110 1 课程设计的目的与作用 掌握用multisim 的电路仿真程序 熟悉同步计数器工作原理和逻辑功能 熟悉计数器电路的分析和设计方法 掌握161 ...

  8. 增益可控放大电路-电路与电子技术课程设计

    目录 1 设计任务与要求 1.1 设计任务 1.2 设计要求 2 方案设计与论证 2.1 方案设计 2.2 论证 3 放大电路设计与计算 3.1 放大电路设计 3.2 电子开关切换电路设计 3.3 六 ...

  9. 信号发生器-电路与电子技术课程设计

    目录 1 设计任务与要求 1.1 设计任务 1.2 设计要求 2 方案设计与论证 2.1 方案设计 2.2 论证 3 信号发生器设计与计算 3.1 信号发生器设计 3.2 方波振荡电路图 3.3 三角 ...

最新文章

  1. 《大话数据结构》第9章 排序 9.6 希尔排序(下)
  2. python入门基础系列_Python3基础系列-基本入门语法
  3. android 字体竖直居中_问下弹性盒内不知道高度的时候想让字体垂直居中代码要怎么写...
  4. 盘点PHP编程常见失误
  5. struct task_struct 结构分析 \linux-1.0\linux\include\linux\sched.h
  6. php处理上传文件的步骤,php文件上传步骤
  7. TypeScript环境搭建
  8. matlab的函数要写在哪,matlab函数库在哪
  9. 自动驾驶再出事!欧洲一辆自动驾驶小公交撞到行人
  10. Python 3.6.x字符串格式化方法小结
  11. 纹理特征描述之灰度差分统计特征(平均值 对比度 熵) 计算和比较两幅纹理图像的灰度差分统计特征 matlab代码实现
  12. 微服务学习之Hystrix容错保护【Hoxton.SR1版】
  13. 6大维度重磅升级,容器云平台BeyondContainer发布1.8版本
  14. .NET(C#)代码性能优化
  15. 一款Java开源的Springboot即时通讯 IM,附源码
  16. 深入理解短时傅里叶变换 STFT + Python 代码详解
  17. html语言判断水仙花数,水仙花数判断讲解
  18. 关于flash分区打印信息jffs2: jffs2_scan_eraseblock(): Magic bitmask 0x1985
  19. c语言今天星期几问题,C语言输入今天星期几
  20. 达人评测 惠普暗影精灵8和惠普暗影精灵8plus的区别

热门文章

  1. 2018思辨与创新章末测试答案
  2. 新建Mavlink消息
  3. 【半监督医学图像分割 2022 MICCAI】SCS 论文翻译
  4. GitHub地址是什么?哪里看?
  5. NVIDIA开源DG-Net:用GAN生成高质量行人图像,辅助行人重识别/在线试衣
  6. JMeter 组件7:Pre Processors预处理器
  7. git拉取分支的方法?
  8. 《社会调查数据管理——基于Stata 14管理CGSS数据》一1.4 数据伦理
  9. C# MVC售楼系统开发
  10. 实验八.方程根的MATLAB求解