@初中就开始混世的大魔王

前期准备

在安装完stm32CubeMx之后,我们开始使用stm32CubeMx和keil进行新建工程,并点亮LED灯

新建工程

1.打开stm32CubeMx,在主界面选择File->new project

2.然后搜索选择的芯片型号,右侧栏出现所选型号,点击一下选择该芯片,即可开始创建工程

3.接下来进入图形化开发主界面

(1)MCU外设资源选择
在这里可以选择MCU的各种资源和外设
(2) 外设配置
这里可以设置你所选择外设的各种功能
(3) 预览界面
这里分为引脚预览 和系统预览
引脚预览就是可以查看那个引脚配置了什么功能,和各个引脚位置
随便点击一个引脚,即可设置该引脚的各种功能
这种颜色表示不可配置引脚 电源专用引脚以黄色突出显示。其配置不能更改
这种颜色表示你配置了一个I/O口的功能,但是没有初始化相对应的外设功能 引脚处于no mode 状态
绿色表示配置成功
4.接下来配置LED灯引脚即可
查看评估板原理图,点亮的LED引脚为PA5

这里只需要把我们的LED对应引脚PA5设置为GPIO_Output即可

5.时钟源设置

6.需要配置RCC才能够使用外部时钟源

7.工程管理
设置完MCU的各个配置之后,第三个就是工程文件的设置了

然后点击Code Generator,进行进一步配置

8.然后点击GENERATE CODE 创建工程

创建成功,打开工程
while循环添加下面代码即可

     HAL_GPIO_WritePin(GPIOA,GPIO_PIN_5,GPIO_PIN_SET); //向PA5引脚写入1HAL_Delay(500); //延时500msHAL_GPIO_WritePin(GPIOA,GPIO_PIN_5,GPIO_PIN_RESET); //向PA5引脚写入0HAL_Delay(500); //延时500ms
 //和上面代码实现效果一样HAL_Delay(500);HAL_GPIO_TogglePin(GPIOA, GPIO_PIN_5); //电平翻转

所有自己编写的代码请放在/* USER CODE BEGIN XXX / / USER CODE END XXX */之间 这样我们修改工程的时候你自己写的代码就不会被删除

下载成功后会看到led灯每隔1s闪烁一次

STM32L476入坑-3-新建工程并点亮LED灯相关推荐

  1. IMX6ULL裸机开发之点亮LED灯

    裸机点亮LED灯 该实验使用正点原子的linux开发板和下载工具 知识储备 GPIO时钟控制器 在<IMX6ULL用户手册>中,时钟控制器模块介绍在第18章 首先看CCM Clock Ga ...

  2. STC89C52单片机 点亮LED灯

    点亮LED灯 一.什么是LED LED全称为半导体发光二极管,采用半导体材料制成的,以直接将电能转化为光能,电号转换成光信号的发光器件:其特点是功耗低.高亮度.色彩艳丽.坑振动.寿命长(正常发光8-1 ...

  3. 【STM32】STM32CubeMX教程二--基本使用(新建工程点亮LED灯)

    前言 在配置好CubeMX之后,就是新建工程的开始了,那么首先我们需要一些准备,本片博客我们会很详细的介绍STM32CubeMx的基本使用和如何创建一个新的工程并且点亮LED灯  面向初学者   如果 ...

  4. Clion安装及相关环境(MinGW和OpenOCD)的配置及利用Clion点亮led灯

    目录 一.安装环境 1.clion 2.Stm32cubeMX 3. 安装clion的相关配置环境 3.1 安装MinGW 3.2安装OpenOCD环境 二.利用clion点亮LED灯 1.利用cli ...

  5. C51单片机之点亮LED灯

    文章目录 C51单片机之点亮LED灯 1. 了解普通发光二极管的参数,掌握限流电阻的计算方法. 2. 理解单片机最小系统,单片机外围电路,Flash,RAM 和 SFR 这些概念. 3. 了解 Kei ...

  6. 二、3【FPGA】如何点亮LED灯

    目录 前言 一.开发设计流程 二.使用FPGA点亮LED灯 1.设计规划 项目功能描述: 硬件资源: 模块和端口信号划分: 2.波形图绘制 3.代码编写 创建工程 创建代码文件 编写代码 4.代码编译 ...

  7. 第一节:C#工业控制编程基础--点亮LED灯实验

    第一节:C#工业控制编程基础–点亮LED灯实验 文章目录 第一节:C#工业控制编程基础--点亮LED灯实验 一.实验目的: C#入门基础学习. 二.实验内容: 用C#控制LED的亮灭. 三.实验步骤: ...

  8. 单片机第一个程序----点亮LED灯

    单片机第一个程序----点亮LED灯 准备: 安装Keil软件 软件官网 单片机实验板(某宝可以买到) 简单的C语言编程能力 单片机C语言教程 简单介绍下keil软件的使用方法: 第一步:新建工程,这 ...

  9. 【小月电子】安路国产FPGA开发板系统学习教程-LESSON1点亮LED灯

    点亮LED灯例程讲解 若要观看该博客配套的视频教程,可点击此链接 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤.比如非常简单的项目,我们 ...

  10. FPGA 点亮LED灯

    设计流程 首先对项目要有一个全局的考虑,分析项目需要几个模块构成,确定各个子模块的关系和信号之间 的相互关系,然后确定模块的端口信号有哪些: 根据每个模块的功能并结合芯片.接口的时序手册画 出该模块能 ...

最新文章

  1. java中事件监听_Java中的事件监听机制
  2. 去掉BootStrap的错误弹框信息
  3. 2013年中国数据库大会PPT
  4. 深入了解什么是Docker
  5. camerax 自动聚焦_Android Camera-CameraView和CameraX使用
  6. map类的erase方法的在Linux与Windows中的差异
  7. pandas操作sql数据库
  8. 使用jQuery开发tab选项卡插件
  9. 指定精确度(*号的使用)
  10. 怎么使用starwind部署iscsi_服务器配置我该怎么选
  11. python surprise库_Python推荐系统库--Surprise实战
  12. rost反剽窃检测系统_如何使用免费查重检测网站?
  13. c++程序员面试宝典
  14. (转)电脑内外接口全程图解
  15. 电信crm网站的服务器,电信crm系统.pdf
  16. python排版_Python|图形排版
  17. 网易传媒Go语言探索
  18. 如何防止form表单重复提交
  19. pdb 符号文件 如何生成的,作用
  20. 顺丰快递代码表java_JAVA接入顺丰快递

热门文章

  1. 【场景化解决方案】OA付款审批同步到金蝶KIS
  2. linux测试upnp,UPnP linux新手入门
  3. arm linux开发板自动配置IP地址
  4. K8s 亲和性和非亲和性(Affinity)
  5. Sklearn聚类算法之Affinity Propagation
  6. 一些方便的LaTex在线编辑工具
  7. origin2018使用说明
  8. puppet kick 报错返回值code3 求解答(finished with exit code 3)
  9. java else 语法错误_Java中带有else的语法错误
  10. 海康大华宇视安防摄像机平台RTSP直播流拉转输出RTSP/RTMP/HLS/HTTP-FLV并获取直播流地址