之前在进行简单的设计的时候,分配管脚比较少,就用的pin planner一个一个输入。现在在做一个大的系统,这样做就太麻烦,在网上搜到了用tcl文件分配管脚的方法。

步骤如下:

首先生成tcl文件,具体做法是project--Generate Tcl Files for project,注意不要勾选include default assignments选项,第一次勾选了之后,提示错误。

然后在文件中间按照下面的格式对管脚进行分配即可。

set_location_assignment PIN_N8 -to RESET

生成的Tcl文件如下,#相当于注释的作用:

# Copyright (C) 1991-2013 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, Altera MegaCore Function License
# Agreement, or other applicable license agreement, including,
# without limitation, that your use is for the sole purpose of
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors.  Please refer to the
# applicable agreement for further details.# Quartus II: Generate Tcl File for Project
# File: DAQ.tcl
# Generated on: Fri Feb 26 15:12:54 2016# Load Quartus II Tcl Project package
package require ::quartus::projectset need_to_close_project 0
set make_assignments 1# Check that the right project is open
if {[is_project_open]} {if {[string compare $quartus(project) "DAQ"]} {puts "Project DAQ is not open"set make_assignments 0}
} else {# Only open if not already openif {[project_exists DAQ]} {project_open -revision DAQ DAQ} else {project_new -revision DAQ DAQ}set need_to_close_project 1
}# Make assignments
if {$make_assignments} {set_global_assignment -name FAMILY "Cyclone IV E"set_global_assignment -name DEVICE EP4CE10F17C8set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.0set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:14:35  FEBRUARY 23, 2016"set_global_assignment -name LAST_QUARTUS_VERSION 13.0set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_filesset_global_assignment -name MIN_CORE_JUNCTION_TEMP 0set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85set_global_assignment -name DEVICE_FILTER_PACKAGE FBGAset_global_assignment -name DEVICE_FILTER_PIN_COUNT 256set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulationset_global_assignment -name USE_CONFIGURATION_DEVICE OFFset_global_assignment -name CRC_ERROR_OPEN_DRAIN OFFset_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -riseset_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fallset_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -riseset_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fallset_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Topset_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Topset_global_assignment -name PARTITION_COLOR 16764057 -section_id Topset_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"set_global_assignment -name QSYS_FILE Qsys_files/HardCore.qsysset_global_assignment -name QIP_FILE PLL.qipset_global_assignment -name BDF_FILE DAQ.bdfset_global_assignment -name VERILOG_FILE AD_conv.vset_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Topset_location_assignment PIN_N8 -to RESETset_location_assignment PIN_E1 -to SYS_CLK#SDRAMset_location_assignment PIN_A14 -to SdramClk    set_location_assignment PIN_D5 -to DQ[0]set_location_assignment PIN_B5 -to DQ[1]set_location_assignment PIN_D6 -to DQ[2]set_location_assignment PIN_C6 -to DQ[3]set_location_assignment PIN_B6 -to DQ[4]set_location_assignment PIN_B7 -to DQ[5]set_location_assignment PIN_D8 -to DQ[6]set_location_assignment PIN_C8 -to DQ[7]set_location_assignment PIN_A9 -to DQ[8]set_location_assignment PIN_A8 -to DQ[9]set_location_assignment PIN_A7 -to DQ[10]set_location_assignment PIN_A6 -to DQ[11]set_location_assignment PIN_A5 -to DQ[12]set_location_assignment PIN_A4 -to DQ[13]set_location_assignment PIN_A3 -to DQ[14]set_location_assignment PIN_A2 -to DQ[15]set_location_assignment PIN_B11 -to ADDR[0]set_location_assignment PIN_D12 -to ADDR[1]set_location_assignment PIN_B12 -to ADDR[2]set_location_assignment PIN_B13 -to ADDR[3]set_location_assignment PIN_C16 -to ADDR[4]set_location_assignment PIN_B16 -to ADDR[5]set_location_assignment PIN_C15 -to ADDR[6]set_location_assignment PIN_A15 -to ADDR[7]set_location_assignment PIN_B14 -to ADDR[8]set_location_assignment PIN_C14 -to ADDR[9]set_location_assignment PIN_C11 -to ADDR[10]set_location_assignment PIN_A13 -to ADDR[11]set_location_assignment PIN_A12 -to ADDR[12]set_location_assignment PIN_B10 -to BA[0]set_location_assignment PIN_D11 -to BA[1]set_location_assignment PIN_B8 -to DQM[0]set_location_assignment PIN_A10 -to DQM[1]set_location_assignment PIN_A11 -to CKEset_location_assignment PIN_D9 -to nCASset_location_assignment PIN_B9 -to nCSset_location_assignment PIN_C9 -to nRASset_location_assignment PIN_E9 -to nWE#FLASHset_location_assignment PIN_H1 -to DCLK_EPCS       set_location_assignment PIN_D2 -to SCE_EPCSset_location_assignment PIN_C1 -to SDO_EPCSset_location_assignment PIN_H2 -to DATA0_EPCS
# Close projectif {$need_to_close_project} {project_close}
}

然后加载tcl文件,对bdf文件分配管脚,具体操作如下:

tools--Tcl scripts,弹出对话框,选择要加载的tcl文件,点击Run即可。

转载于:https://www.cnblogs.com/zhaopengdt/p/5222023.html

使用tcl文件分配管脚相关推荐

  1. Quartus 使用tcl分配管脚

    Abstract 本文介绍如何到处已有工程的管脚分配为tcl文档和使用Tcl文档来分配管脚 Introduction FPGA芯片的引脚很多,如果手工分配,工作量很大,且容易出错.应该采用自动分配引脚 ...

  2. tcl国际化路线一直堪称商界经

    李东生,籍贯广东,性格中既有憨厚也有精明,他所坚持的tcl国际化路线一直堪称商界经典案例,虽步履艰辛仍勇往直前. 初赛 攻克"桥头堡" 上个世纪末,tcl以越南为跳板正式迈出国际化 ...

  3. NIOS II 创建示例设计_Quartus II 9.0

    安装设计文件 在继续之前,您必须安装 Quartus II 软件和 Nios II 嵌入式设计套件. 分析系统要求 本部分介绍的教程示例设计的系统要求.设计的目标如下: 有关这些和其他组件,完整详细信 ...

  4. ISE_软件基本使用流程(win10 的bug工程约束仿真烧写mcs固化)

    文章目录 背景 1.前言 2.流程 1.新建工程 2.编写代码 3.时序约束 4.分配管脚 1.手动分配管脚 2.使用ucf文件分配管脚 5.配置工程 6.下载程序 3.win10与ISE的bug解决 ...

  5. quartus 使用技巧

    quartus 使用技巧[设置上拉.生成网表等] 引言:工欲善其事必先利其器 在fpga学习中,熟悉一个工具的使用是很有必要的,可以加快开发进度或者帮助我们更好的定位问题.本文总结一些quaruts的 ...

  6. FPGA学习5-PL和PS互联

    1.GPIO外设 1)EMIO 部分寄存器参考UG1085,BAKN0-BANK2为MIO78个,BANK3-BANK5 EMIO(扩展接口,再PL端)96个,IO配置里设置EMIO,生成cpu核上点 ...

  7. TCL中关于管脚(Ports)的一些使用方法?

    前言 逻辑短文系列第三篇,显然,没有规律,让我随时可以输出一些东西,而不必想文章的主题,放在哪一篇中的哪一块等,自由. 逻辑设计中复位的稳妥处理方法? 逻辑设计中需要显式地使用IBUF以及OBUF类似 ...

  8. TCL中Ports/Pins/Nets/Cells/Clocks之间的交互关系?

    前言 先给出近期文章列表: 逻辑设计中复位的稳妥处理方法? 逻辑设计中需要显式地使用IBUF以及OBUF类似的原语吗? TCL中关于管脚(Ports)的一些使用方法? TCL中关于Pins的一些使用方 ...

  9. TCL中关于Nets的一些用法?

    前言 往期博文: 逻辑设计中复位的稳妥处理方法? 逻辑设计中需要显式地使用IBUF以及OBUF类似的原语吗? TCL中关于管脚(Ports)的一些使用方法? TCL中关于Pins的一些使用方法? TC ...

最新文章

  1. 7个IntelliJ IDEA必备插件,让写代码像火箭一样飞起!
  2. 【图像识别】图像识别过程中,计算的图片大小多少为合适?
  3. 服务器通过光纤模块挂载存储服务器
  4. NB-IOT的优势体现在哪些方面
  5. 函数对象 java_函数对象
  6. c mysql 查询超时设置_MySQL查询超时的设置方法
  7. 湖北大学数学与计算机科学学院,2017年湖北大学数学与计算机科学学院811数据结构考研题库...
  8. 快速解决:阿里云ECS实例远程桌面连接 发生身份验证错误。要求的函数不受支持 !
  9. flume1.7 TailDirSource断点续传与文件更名后数据重复采集的bug修复
  10. C++中new int()与new int[]区别
  11. [物理学与PDEs]第2章第4节 激波 4.2 熵条件
  12. CCNA3.0中文版教材
  13. redis mysql 雪崩_Redis雪崩和穿透问题
  14. 图像的代数运算与逻辑运算——Matlab实现
  15. 工厂都离不开的“人机料法环”
  16. 第4讲 | 区块链的应用类型
  17. matlab截视频人脸,一种视频图像中人脸图像截取方法与流程
  18. 微信公众号网页授权--前端获取code及用户信息(vue)
  19. vue导出excel加一个进度条_Vue实现在前端导出Excel
  20. 计算机一直重启故障原因可能是,电脑一直自己重启的原因与修复方法大全

热门文章

  1. 杭州哪里学python好_杭州哪里学python好
  2. 计算机组成原理—Cache写策略
  3. 试题6 算法训练 数据交换(指针)
  4. SQL注入漏洞入门(操作实现)
  5. ssh集群服务器免密登录
  6. k8s中的endpoint
  7. python深度学习库keras——安装
  8. 安卓调用系统语音识别功能全解(谷歌语音服务):获取识别结果,使用语音识别进行搜索。
  9. 安卓中fragment的使用全解
  10. c++中获取蓝图组件_Vue组件通信方式居然有这么多?你了解几种