Abstract

本文介绍如何到处已有工程的管脚分配为tcl文档和使用Tcl文档来分配管脚

Introduction

FPGA芯片的引脚很多,如果手工分配,工作量很大,且容易出错。应该采用自动分配引脚的方法。
具体做法如下:
1  打开一个已经分配好引脚的工程,应该选择使用引脚比较多的工程。
2  点击Assignments 菜单下的Pins 菜单项, 打开引脚分配界面, 点击File菜单下的Export菜单项,打开引脚导出对话框,
选择导出文件类型为Tcl

3  在一个新的工程, 若要分配引脚, 点击View菜单下Utility Windows 的Tcl Console,
在Quartus 主界面的右下方,出现Tcl Console
4   将第二步生成的Tcl文件用记事本打开,选择全部内容复制, 在Quartus的Tcl
Console窗口粘贴, 并按回车键结束Tcl 命令。
5   点击Assignments 菜单下的Pins 菜单项,
打开引脚分配界面,可以见到已经分配了引脚。在工程中需要使用同样的I/O端口名称

以下为某工程的tcl文档,当然可以针对自己的工程编辑该文档

#------------------GLOBAL--------------------# set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF

#复位引脚 set_location_assignment PIN_27 -to RESET #时钟引脚 set_location_assignment PIN_24 -to CLOCK #时钟输出引脚 set_location_assignment PIN_30 -to CLK_OUT #外部时钟输入引脚 set_location_assignment PIN_28 -to CLK_IN  #SDRAM引脚 set_location_assignment PIN_88 -to S_DB[0] set_location_assignment PIN_87 -to S_DB[1] set_location_assignment PIN_86 -to S_DB[2] set_location_assignment PIN_84 -to S_DB[3] set_location_assignment PIN_82 -to S_DB[4] set_location_assignment PIN_81 -to S_DB[5] set_location_assignment PIN_80 -to S_DB[6] set_location_assignment PIN_77 -to S_DB[7] set_location_assignment PIN_45 -to S_DB[8] set_location_assignment PIN_46 -to S_DB[9] set_location_assignment PIN_47 -to S_DB[10] set_location_assignment PIN_48 -to S_DB[11] set_location_assignment PIN_56 -to S_DB[12] set_location_assignment PIN_57 -to S_DB[13] set_location_assignment PIN_58 -to S_DB[14] set_location_assignment PIN_59 -to S_DB[15] set_location_assignment PIN_64 -to S_A[0] set_location_assignment PIN_63 -to S_A[1] set_location_assignment PIN_61 -to S_A[2] set_location_assignment PIN_60 -to S_A[3] set_location_assignment PIN_31  -to S_A[4] set_location_assignment PIN_33 -to S_A[5] set_location_assignment PIN_34 -to S_A[6] set_location_assignment PIN_35 -to S_A[7] set_location_assignment PIN_37 -to S_A[8] set_location_assignment PIN_39 -to S_A[9] set_location_assignment PIN_67 -to S_A[10] set_location_assignment PIN_40 -to S_A[11] set_location_assignment PIN_43 -to S_CLK set_location_assignment PIN_69 -to S_BA[0] set_location_assignment PIN_68 -to S_BA[1] set_location_assignment PIN_74 -to S_nCAS set_location_assignment PIN_41 -to S_CKE set_location_assignment PIN_72 -to S_nRAS set_location_assignment PIN_75 -to S_nWE set_location_assignment PIN_70  -to S_nCS set_location_assignment PIN_44 -to S_DQM[1] set_location_assignment PIN_76 -to S_DQM[0] #FLASH引脚 set_location_assignment PIN_143 -to F_DB[0] set_location_assignment PIN_144 -to F_DB[1] set_location_assignment PIN_145 -to F_DB[2] set_location_assignment PIN_146 -to F_DB[3] set_location_assignment PIN_147 -to F_DB[4] set_location_assignment PIN_149 -to F_DB[5] set_location_assignment PIN_150 -to F_DB[6] set_location_assignment PIN_151 -to F_DB[7] set_location_assignment PIN_139 -to F_A[0] set_location_assignment PIN_138 -to F_A[1] set_location_assignment PIN_137 -to F_A[2] set_location_assignment PIN_135 -to F_A[3] set_location_assignment PIN_134 -to F_A[4] set_location_assignment PIN_133 -to F_A[5] set_location_assignment PIN_128 -to F_A[6] set_location_assignment PIN_127 -to F_A[7] set_location_assignment PIN_114 -to F_A[8] set_location_assignment PIN_113 -to F_A[9] set_location_assignment PIN_112 -to F_A[10] set_location_assignment PIN_110 -to F_A[11] set_location_assignment PIN_108 -to F_A[12] set_location_assignment PIN_107 -to F_A[13] set_location_assignment PIN_106 -to F_A[14] set_location_assignment PIN_105 -to F_A[15] set_location_assignment PIN_160 -to F_A[16] set_location_assignment PIN_118 -to F_A[17] set_location_assignment PIN_117 -to F_A[18] set_location_assignment PIN_115 -to F_A[19] set_location_assignment PIN_152 -to F_ALSB set_location_assignment PIN_116 -to F_nWE set_location_assignment PIN_141 -to F_nCE set_location_assignment PIN_142 -to F_NOE #VGA引脚 set_location_assignment PIN_14 -to VGA_R[0] set_location_assignment PIN_13 -to VGA_R[1] set_location_assignment PIN_12 -to VGA_R[2] set_location_assignment PIN_11 -to VGA_G[0] set_location_assignment PIN_10 -to VGA_G[1] set_location_assignment PIN_8 -to VGA_G[2] set_location_assignment PIN_6 -to VGA_B[0] set_location_assignment PIN_5 -to VGA_B[1] set_location_assignment PIN_4 -to VGA_HS set_location_assignment PIN_3 -to VGA_VS #LED引脚 set_location_assignment PIN_201 -to LED[0] set_location_assignment PIN_203 -to LED[1] set_location_assignment PIN_205 -to LED[2] set_location_assignment PIN_206 -to LED[3] set_location_assignment PIN_207 -to LED[4] set_location_assignment PIN_208 -to LED[5] #按键引脚 set_location_assignment PIN_97 -to KEY_OK set_location_assignment PIN_131 -to KEY_UP set_location_assignment PIN_130   -to KEY_DOWN set_location_assignment PIN_129 -to KEY_LEFT set_location_assignment PIN_99 -to KEY_RIGHT set_location_assignment PIN_132 -to KEY_ESC #24LC04引脚 set_location_assignment PIN_199 -to I2C_SDA set_location_assignment PIN_200 -to I2C_SCL #PS/2引脚 set_location_assignment PIN_191 -to PS2_DAT set_location_assignment PIN_192 -to PS2_CLK #DS1302(实时时钟)引脚 set_location_assignment PIN_161 -to RTC_SCLK set_location_assignment PIN_163 -to RTC_nRST set_location_assignment PIN_162 -to RTC_DATA #蜂鸣器引脚 set_location_assignment PIN_15 -to BUZZER #数码管引脚 set_location_assignment PIN_169 -to DIG[0] set_location_assignment PIN_168 -to DIG[1] set_location_assignment PIN_165 -to DIG[2] set_location_assignment PIN_164 -to DIG[3] set_location_assignment PIN_175 -to DIG[4] set_location_assignment PIN_173 -to DIG[5] set_location_assignment PIN_171 -to DIG[6] set_location_assignment PIN_170 -to DIG[7] set_location_assignment PIN_185 -to SEL[5] set_location_assignment PIN_182 -to SEL[4] set_location_assignment PIN_181 -to SEL[3] set_location_assignment PIN_180 -to SEL[2] set_location_assignment PIN_179 -to SEL[1] set_location_assignment PIN_176 -to SEL[0] #USB转串口引脚 set_location_assignment  PIN_193 -to USB2UART_RXD set_location_assignment  PIN_195 -to USB2UART_TXD #串口引脚 set_location_assignment  PIN_198 -to RXD set_location_assignment  PIN_197 -to TXD #485引脚 set_location_assignment  PIN_189 -to 485RXD set_location_assignment  PIN_187 -to 485TXD set_location_assignment  PIN_188 -to 485DIR #拨码开关引脚 set_location_assignment  PIN_89 -to SW[5] set_location_assignment  PIN_90 -to SW[4] set_location_assignment  PIN_92 -to SW[3] set_location_assignment  PIN_94 -to SW[2] set_location_assignment  PIN_95 -to SW[1] set_location_assignment  PIN_96 -to SW[0] #------------------END-----------------------#

转载于:https://www.cnblogs.com/mark-sun/archive/2012/04/25/2470338.html

Quartus 使用tcl分配管脚相关推荐

  1. 使用tcl文件分配管脚

    之前在进行简单的设计的时候,分配管脚比较少,就用的pin planner一个一个输入.现在在做一个大的系统,这样做就太麻烦,在网上搜到了用tcl文件分配管脚的方法. 步骤如下: 首先生成tcl文件,具 ...

  2. Quartus 中快速分配器件管脚

    在quartus中分配器件管脚最笨的方法是对于器件手册一个一个的敲进去,这样做如果用到的管脚很好还没有发觉什么不好,但是当用到的器件管脚很多的时候就会发现很麻烦,而且容易出错.接下来我来介绍一种很方便 ...

  3. Quartus如何设置虚拟管脚Virtual Pin(具体设置方法)

    参考链接:如何在Quartus II中设置Virtual pin及常见问题_林晓海的博客-CSDN博客 (原创)QuartusII设置虚拟引脚(Virtual Pin)_weixin_30299539 ...

  4. 【连载】【FPGA黑金开发板】NIOS II那些事儿--NIOS II 常见问题(FAQ)

    为了帮助初学者快速入门NIOS II,在此建立NIOS II FAQ,希望大家把自己遇到的问题提出来,然后在这里总结起来,以帮助以后遇到同样问题的人. 首先需要声明一点,下面部分问题来自网络,如果版权 ...

  5. 【连载】【FPGA黑金开发板】NIOS II那些事儿--硬件开发(一)

     声明:本文为原创作品,版权归黑金动力社区(http://www.heijin.org)所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 前言 从今天开始,NIO ...

  6. quartus管脚分配后需要保存吗_Quartus II中FPGA的管脚分配保存方法

    一.摘要 将Quartus II中FPGA管脚的分配及保存方法做一个汇总. 二.管脚分配方法 FPGA 的管脚分配,除了在QII软件中,选择"Assignments ->Pin&quo ...

  7. FPGA管脚分配文件的保存

    使用别人的工程时,有时找不到他的管脚文件,但可以把他已经绑定好的管脚保存下来,输出到文件里. 方法一: 查看引脚绑定情况,quartus -> assignment -> Pins,打开F ...

  8. tcl之quartus 脚本学习 · 1 quartus tcl packages 介绍

    quartus之tcl脚本学习 quartus tcl packages 介绍 quartus 中常用的tcl包 quartus tcl packages 介绍 1.在quartus 中tcl包按照功 ...

  9. quartus ii 管脚映射

    管脚映射有两种方法 : (1)在" Assignment"中选择"Pin Planner",之后在location选项中挨个选择,当然这需要花一定的时间如果你的 ...

最新文章

  1. 一文看尽4种SLAM中零空间的维护方法
  2. 基于Docker的SaaS解决方案
  3. 01-NVIDIA Jetson TX2开箱上电显示界面
  4. 日志审计产品(转载)
  5. Python+tkinter不允许退出程序的思路和实现
  6. Java实现Redis的订阅发布功能,亲测可以
  7. UnixVi命令详解
  8. 数据库语句创建数据库
  9. 刺客信条3免uplay破解补丁
  10. Java工程师 数据库优化面试题(Day16)
  11. Flash入门:动画制作基础知识
  12. php读取写入,php操作文件(读取写入文件)
  13. VS code 修改注释颜色的三种方式(总结)
  14. ROM刷机包下载站领导者:刷机之家
  15. 扫地机器人的构造及核心技术详解
  16. 树莓派制作自己的小车车(上)
  17. share memory的bank conflict分析
  18. 五、Lua 变量的学习
  19. 3DSlicer开发之路——Extensions(四)
  20. 在word中通过endnote打入文献标签

热门文章

  1. AlexNet原文解读+colab上运行caffe+caffe神经网络可视化(没有完成)
  2. Flink中GroupWindow和OverWindow各自的作用+window体系+文档阅读方式
  3. 查询复旦大学往年的考研成绩
  4. Vue文件的缩进改为4个空格
  5. yelee主题博客四周变透明
  6. intellij2018修改代码背景颜色
  7. intellij中出現org.apache.hadoop.fs.FSDataInputStream解決方案
  8. 深入理解拉格朗日乘子法(Lagrange Multiplier) 和KKT条件(转)
  9. 修饰符在python函数中的用法详细解释
  10. linux中xjvf指令,linux解压缩命令小结