此文全文转自:http://svenand.blogdrive.com/archive/169.html#.WaUV9IiGNPY  ,非常感谢!

本人在vivado 2015.4版本测试!

When we have completed lab 1, we will know how to do the following:

  • Create a new project in Vivado targeting the Zynq Zedboard
  • Add an embedded ARM source in Vivado integrator
  • Configure the embedded source
  • Enable and map a Zynq PS UART peripheral
  • Build the hardware platform and export to Vivado SDK
  • Create and run a Hello World application

Let's launch Vivado.

vivado &

Start a new project

To start a new project select "Create New Project".


Enter the project name LED_Controller and specify the project location. Don't forget to mark the "Create project subdirectory" tick box .

Select project type. In this project we will add RTL source code, synthesize and implement. We will not add any source code at this time.

We will add our design to the ZedBoard.

Click Finish to start project creation. The Vivado Cockpit window opens.


Project settings

Before we start designing the new project let's look at the project settings.

Select Tools->Project Settings from the top menu.



We will use Verilog as our target HDL language all other settings can be left with their default values.

Vivado IP Integrator

The current project is blank. To access the ARM processing system, we will create a Block Design in Vivado IP Integrator. Once the Block Design is created, we will add the ARM procesing system as an IP and configure it.

1. Click "Create Block Design" under IP Integrator in the Flow Navigator window.

2. Type system for the Design name and click OK.

3. The source system (system.bd) is created and added under Design Sources in the Sources pane to the left and the Diagram opens in the Block Design pane to the right. To get started select <Add IP> by clicking the highlighted text at the top.

4. A pop-up window opens. Type zynq in the search fields and select ZYNQ7 Processing System followed by <ENTER>.

5. ZYN7 Processing System is now added in the Diagram pane. Start to configure the block by double-clicking the IP.

The Re-Customize IP window opens showing the ZYNQ Block Design. Since we specified the board, the ARM processing system is pre-configured with the I/O peripherals that are connected on that board.

7. We will not connect anything from the programmable logic (PL) in our first design and hence we will get an error unless we remove the AXI interface to the PL. Select <PS-PL Configuration> in the Page Navigator pane and expand GP Master AXI Interface. Disable the M AXI GPIO Interface by clicking in the box to remove the check mark.

In Vivado 2015.1 the M AXI GP0 interface can be found here.

8. We will only use UART 1 as a peripheral in our first design and later on we will need SD 0 when we boot from SD card. All other unnecessary connections can be removed. Select <MIO Configuration> in the Page Navigator pane and expand Memory Interfaces, I/O Peripherals and Application Processor Unit. Deselect everything except SD 0  and UART 1. Verify that MIO 40..45 are selected for SD 0 and MIO 48..49 for UART 1.

9. We will not connect anything from the programmable logic in our first design and we don't need to clock the PL. Select <Clock Configuration> in the Page Navigator pane and expand PL Fabric Clocks. Disable FCLK_CLK0.

10. Click OK to close the Re-Customize IP widow. Back in the Diaagram tab we need to create external connections in order to hook up the memory interface and the UART to physical pins. This step can be automated. Start <Run Block Automation> by clicking on the highlighted text at the top of the window and select /processing_system7_0.

11. A pop-up window appears, click OK to run block automation.

12. Verify that the external connections for FIXED_IO (all peripherals connected through MIO) and DDR (the external memory interface) get added. Validate design by clicking on the icon to be found to the left (third from the bottom).

13. A pop-up window appears, verify that there are no errors and click OK.


In Vivado 2015.1 the finished design looks like this.

14. Save the Block Design by typing Ctrl-S or clicking the Save Block Design icon in the top menu bar.

转载于:https://www.cnblogs.com/shuqingstudy/p/7448664.html

(转) 使用vivado创建工程 1相关推荐

  1. FPGA初学(1)-- Vivado创建工程、管脚分配、综合、布局布线、生成比特流教程(详细)

    前言: vivado的安装包.安装教程看这篇: Vivado安装包下载.安装教程 安装好vivado后,桌面会多出这三个文件: 其中,vivado HLS可以将C语言转化为RTL级实现,主要用于一些高 ...

  2. 米联客 ZYNQ/SOC精品教程 S01-CH04 VIVADO创建工程之流水灯

    软件版本:VIVADO2017.4 操作系统:WIN10 64bit 硬件平台:适用米联客 ZYNQ系列开发板 米联客(MSXBO)论坛:www.osrc.cn答疑解惑专栏开通,欢迎大家给我提问!! ...

  3. vivado fpga最最简单的入门--led闪烁 创建工程+代码输入+添加引脚约束完整具体流程

    1.把板子连到电脑.然后打开vivado,创建工程,如图 2.next 3.输入工程名,选择工程目录,然后next 4.next 5.creat file, 6.输入文件名 然后点击ok 7.next ...

  4. 使用Xilinx Vivado 创建自己板卡文件-以 EBAZ4205(旷板ZYNQ7010) 为例

    使用Xilinx Vivado 创建自己板卡文件-以 EBAZ4205(旷板ZYNQ7010) 为例 我们在使用Vivado创建工程时,每次都需要选择相关的板卡器件,比较麻烦,这篇文章就教你怎么创建属 ...

  5. vivado 如何创建工程模式_基于Vivado的FPGA高性能开发研修班2019年8月30日上海举行...

    一.课程介绍: 从7系列FPGA开始,Xilinx提出了Vivado Design Suite设计软件,提供全新构建的SoC 增强型.以 IP 和系统为中心的下一代开发环境,以解决系统级集成和实现的生 ...

  6. vivado 如何创建工程模式_用Tcl定制Vivado设计实现流程

    原标题:[Vivado使用误区与进阶]用Tcl定制Vivado设计实现流程 上一篇<Tcl在Vivado中的应用>介绍了Tcl的基本语法以及如何利用Tcl在Vivado中定位目标.其实Tc ...

  7. Vivado入门之加法器的实现(从创建工程到上板验证)

    Vivado的安装问题我就不再赘述了,如果不知道如何安装,大家可以参考我的这篇博客:https://blog.csdn.net/weixin_43074474/article/details/8942 ...

  8. xilinx Vivado的使用详细介绍(2):创建工程、添加文件、综合、实现、管脚约束、产生比特流文件、烧写程序、硬件验证

    xilinx Vivado的使用详细介绍(2):创建工程.添加文件.综合.实现.管脚约束.产生比特流文件.烧写程序.硬件验证 Author:zhangxianhe 新建工程 打开Vivado软件,直接 ...

  9. Vivado创建项目和仿真

    一.创建项目 Create Project: Next: 填写项目名,选择项目位置,Next: 选择RTL项目,勾选Do not specify sources at the time,即不添加源文件 ...

最新文章

  1. 调研 | 大数据师资培训,你想学哪门课、有哪些意见?
  2. SpringBoot+tomcat+web
  3. 【OpenCV环境配置】Xcode+OpenCV+pkg-config
  4. POJ2391 Floyd+离散化+二分+DINIC
  5. 在Java中使用内存映射文件时检测(写入)失败
  6. # 20155337 2016-2017-2 《Java程序设计》第十周学习总结
  7. sklearn之逻辑回归和岭回归
  8. Java 理论与实践: 处理 InterruptedException(转)
  9. Report_客制化报表输出Excel后去0问题(案例)
  10. java urlconnection cookie_使用HTTPUrlConnection时如何保留cookie?
  11. 通俗易懂的粒子滤波算法(PF)
  12. 大数据可视化陈为智慧树_知到智慧树大数据可视化网课答案
  13. 【勘误清单】《机器学习》 周志华 北京: 清华大学出版社
  14. Extjs处理客户复制三位一撇到numberfield里面
  15. 1500ml等于多少l_1500毫升是多少升
  16. 用笔记本改装智能家居服务器,一年之后,改装4G版小米笔记本电脑的可行性
  17. MYSQL的一知半解
  18. C# 统计程序执行时间
  19. 数学建模常用的十大算法
  20. Anaconda3镜像源修改

热门文章

  1. java lwjgl3_java-LWJGL 3鼠标移动
  2. could not create the java virtual machine启动eclipse报错
  3. Hive | 加载数据后,出现字段显示为 NULL的问题
  4. 计算机cup容量有什么作用,电脑的cpu和内存条都起什么作用
  5. 使用pyppeteer爬取淘宝商品
  6. 图像处理/255.0 和/127.5 -1
  7. 逻辑回归:损失函数与梯度下降
  8. 安装hadoop2.6.0伪分布式环境
  9. Lucene学习总结之四:Lucene索引过程分析
  10. Android网络连接的两种方法:apache client和httpurlconnection的比较