Vivado的安装问题我就不再赘述了,如果不知道如何安装,大家可以参考我的这篇博客:https://blog.csdn.net/weixin_43074474/article/details/89423346
1.打开Vivado
我安装了Vivado之后,桌面上出现了三个图标,另外两个快捷方式我没试过是干什么的,点击下面的图标,即可打开Vivado。

打开过程有点慢,需要等待个几秒钟,打开成功之后的界面如下图所示。
2.创建一个新的工程
点击Quick Start一栏中的Creat Project ,出现下图所示的界面

点击Next,出现下面的窗口

根据自己的实际需要,填写项目名称和存储位置,点击Next,出现下面的窗口。

选择RTL project,并且勾选Do not specify sources at this time。点击Next,出现下图所示的界面

按照图示进行勾选,选好了之后点击Next。出现一个新项目概要

点击Finish,等待个几秒钟,创建完成之后的界面如图所示

3.添加文件
a.添加Design Source文件。

放大之后的界面如下图所示

单击Design Sources,右击,Add Sources,如下图所示。

这时会出现下图所示的界面,选择第二项,点击Next

选择AddFiles

找到代码所在的文件夹,选择adder_disply.v,然后点击Ok。


现在会出现如下图所示的界面,点击Finish,添加结束

这时看到adder_display已经添加进来了

下面单击adder_module,右击,Add Sources

这时选中adder.v,点击Ok

添加之后如下图所示

用同样的方法,为lcd_module添加lcd_module.dcp

添加完成之后如下图所示

再用同样的方法,添加testbench文件

添加之后如下图所示

至此,Design Sources文件添加结束。
b.添加Constraints文件

添加好了之后如下图所示

c.添加Simulation Sources文件

选择testbench.v

添加完之后应该是下图这样

这时需要把testbench设为top

至此,所有的文件添加完毕。

4.添加加法器的代码

添加代码
assign {cout,result} = operand1 + operand2 + cin;

5.查看仿真图形
点击Run Behavioral Simulation

稍微等待几秒,会出现下图所示的界面

进行适当的缩放,然后运行仿真,仿真结果如图所示

6.上板验证
首先需要将板子连接电源,打开开关,再与电脑相连

点击Generate Bitstream

会弹出下面对话框,使用默认选项,点击OK

这时Vivado会生成烧制文件,这个过程需要个几分钟

等右上角的绿圆圈转完之后就可以了,这时会弹出一个成功的窗口

上面默认选的是第一项,我们选择第三项Open Hardware Manager之后点击OK。

点击下图所示的Program device

使用默认选项,点击Program

大功告成

提示:
在进行上板验证的时候,有时会遇到类似于下面的错误

就是说某个东西可能被锁住了,我不知道发生这个错误的具体原因是什么,但是把Vivado关闭,重新打开Vivado并且重新创建工程(不能再用原先的工程),解决了这个问题。我怀疑是电脑与板子进行数据传输时发生了冲突。
如果有什么问题,欢迎大家留言。
最后附上添加文件过程中用到的所有文件:

可以使用百度云进行免费下载
链接:https://pan.baidu.com/s/1w1zFE-6Tabkw-mo8AiMCvg
提取码:u6ut

如果本篇文章对你有所帮助,欢迎使用CSDN下载来支持我
链接:https://download.csdn.net/download/weixin_43074474/13728738

Vivado入门之加法器的实现(从创建工程到上板验证)相关推荐

  1. Vivado创建调用自定义IP上板验证

    Vivado创建调用自定义IP上板验证 本次记录如何使用Vivado创建调用自定义IP上板验证 自定义IP(Key_test) 按键消抖功能的模块 然后调用此(Key_test) IP 在顶层文件进行 ...

  2. 可调时钟频率——IP核PLL/MMCM仿真及上板实验(Vivado)

    一.说明 实现平台:vivado2018.3 实验设备:领航者V2(ZYNQ7020),示波器一台 二.原理 在大多数实验项目中,所需要的时钟频率不尽相同,因此需要根据需求产生特定的时钟频率. PLL ...

  3. vivado fpga最最简单的入门--led闪烁 创建工程+代码输入+添加引脚约束完整具体流程

    1.把板子连到电脑.然后打开vivado,创建工程,如图 2.next 3.输入工程名,选择工程目录,然后next 4.next 5.creat file, 6.输入文件名 然后点击ok 7.next ...

  4. vivado 如何创建工程模式_基于Vivado的FPGA高性能开发研修班2019年8月30日上海举行...

    一.课程介绍: 从7系列FPGA开始,Xilinx提出了Vivado Design Suite设计软件,提供全新构建的SoC 增强型.以 IP 和系统为中心的下一代开发环境,以解决系统级集成和实现的生 ...

  5. HealthKit开发快速入门教程之HealthKit框架体系创建健康AppID

    HealthKit开发快速入门教程之HealthKit框架体系创建健康AppID HealthKit开发准备工作 在开发一款HealthKit应用程序时,首先需要讲解HealthKit中有哪些类,在i ...

  6. arcgis api for flex 开发入门(二)map 的创建

    arcgis api for flex 开发入门(二)map 的创建 在flex 中创建一个esri 的map ,你只需要使用<esri:Map>标签就可以轻松完成. 在<esri: ...

  7. vivado 如何创建工程模式_用Tcl定制Vivado设计实现流程

    原标题:[Vivado使用误区与进阶]用Tcl定制Vivado设计实现流程 上一篇<Tcl在Vivado中的应用>介绍了Tcl的基本语法以及如何利用Tcl在Vivado中定位目标.其实Tc ...

  8. python快速入门【四】-----各类函数创建

    python入门合集: python快速入门[一]-----基础语法 python快速入门[二]----常见的数据结构 python快速入门[三]-----For 循环.While 循环 python ...

  9. 米联客 ZYNQ/SOC精品教程 S01-CH04 VIVADO创建工程之流水灯

    软件版本:VIVADO2017.4 操作系统:WIN10 64bit 硬件平台:适用米联客 ZYNQ系列开发板 米联客(MSXBO)论坛:www.osrc.cn答疑解惑专栏开通,欢迎大家给我提问!! ...

最新文章

  1. 如何解决Linux下侦听端口被占用
  2. Pycharm安装和基本使用
  3. Java操作符自测运算符精度
  4. 基于docker安装tensorflow
  5. Android学习----AndroidManifest.xml文件解析
  6. 图像压缩哪家强?请看这份超详细对比
  7. 计算机毕业设计谢辞怎么写,毕业论文谢辞怎么写(通用8篇)
  8. python画多边形_python – 使用matplotlib更有效地绘制多边形
  9. 9999元起!荣耀首部折叠屏手机Magic V正式发布
  10. keystone nova v2 python
  11. Java_基础_fail-fast
  12. Educational Codeforces Round 97 (Rated for Div. 2) F. Emotional Fishermen
  13. 安卓app开发方案_简谈企业最常用的三种安卓app开发语言
  14. 使用串口连接Arduino与树莓派开发板
  15. 为什么巡检在工业生产中如此重要?
  16. 企业服务,机会还是陷阱
  17. PPP with MPPE协议
  18. 300etf期权怎么玩?正规平台有哪些呢?
  19. UVA 10004 Bicoloring (二分染色)
  20. tensorflow2.0学习经历:建立一个多元函数拟合模型并移植到ESP32(Arduino IDE)

热门文章

  1. 美国小学教育——转自顾小北
  2. Win10 家庭版 VMware Workstation与Device Guard不兼容问题
  3. Windows Store / Onenote 联网错误 无法打开 账号无法登录 Clash解决方案 错误代码0x80131500
  4. druid产生大量mysql的sleep连接
  5. 关于移动库存管理的思考
  6. 深度学习||写论文思路整理||论文阅读的技巧
  7. SQL Server 2014下载,安装和使用教程
  8. 免重装系统更换AHCI磁盘驱动器模式
  9. WordPress主题分享:Avada主题v7.8.0免费下载 2022年最新版
  10. STM32F429IGT6入门(一)