部分参考代码

(末尾附文件)

module  Sell(input clk,input reset_n,input yiyuan_set,input wuyuan_set,input shiyuan_set,input ok_set_r,input [3:0] good_sel,input [7:0] good_price,output [3:0] current_price,output [3:0] current_num,output [3:0] money_shi,output [3:0] money_ge,output [3:0] final_num,output right_led,output wrong_led,output ok_set_vaild_r
);reg right_led_r;
reg wrong_led_r;
reg ok_set_vaild;
reg [3:0] good_num_1;
reg [3:0] good_num_2;
reg [3:0] good_num_3;
reg [3:0] good_num_4;reg [3:0] current_price_r;
reg [3:0] current_num_r;
reg [3:0] money_shi_r;
reg [3:0] money_ge_r;
reg [3:0] final_num_r;reg [7:0] total;
reg [7:0] charge;wire [3:0] total_ge;
wire [3:0] total_shi;
wire [3:0] total_bai;wire [3:0] charge_ge;
wire [3:0] charge_shi;
wire [3:0] charge_bai;BinToDec BinToDec_total(.clk                  (clk),.reset_n              (reset_n),.bin                  (total),.one                    (total_ge),.ten                 (total_shi),.hun                    (total_bai)
);BinToDec BinToDec_charge(.clk                 (clk),.reset_n              (reset_n),.bin                  (charge),.one                   (charge_ge),.ten                    (charge_shi),.hun                   (charge_bai)
);reg [6:0] current_state, next_state;
parameter   IDLE = 7'd00,     M10 = 7'd10,              M20 = 7'd20,          M30 = 7'd30,          M40 = 7'd40,          M50 = 7'd50,          M60 = 7'd60,M70 = 7'd70,M80 = 7'd80,M90 = 7'd90,M100 = 7'd100,M110 = 7'd110,M_OK = 7'd120,M_NEXT = 7'd121;always@(posedge clk or negedge reset_n) beginif(~reset_n)current_state <= IDLE;elsecurrent_state <= next_state;
endalways@(yiyuan_set or wuyuan_set or shiyuan_set or ok_set_r) beginif(~reset_n) beginnext_state <= IDLE;endelse begincase(current_state) IDLE:beginif(yiyuan_set)next_state <= M10;else if(wuyuan_set)next_state <= M50;else if(shiyuan_set)next_state <= M100;elsenext_state <= current_state;endM10:begin                      if(yiyuan_set)next_state <= M20;else if(wuyuan_set)next_state <= M60;elsenext_state <= current_state;endM20:begin                       if(yiyuan_set)next_state <= M30;else if(wuyuan_set)next_state <= M70;else if(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;endM30:beginif(yiyuan_set)next_state <= M40;else if(wuyuan_set)next_state <= M80;else if(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;endM40:beginif(yiyuan_set)next_state <= M50;else if(wuyuan_set)next_state <= M90;else if(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;endM50:beginif(yiyuan_set)next_state <= M60;else if(wuyuan_set)next_state <= M100;else if(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;endM60:beginif(yiyuan_set)next_state <= M70;else if(wuyuan_set)next_state <= M110;else if(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;end          M70:beginif(yiyuan_set)next_state <= M80;else if(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;endM80:beginif(yiyuan_set)next_state <= M90;else if(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;endM90:beginif(yiyuan_set)next_state <= M100;else if(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;endM100:beginif(yiyuan_set)next_state <= M110;else if(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;endM110:beginif(ok_set_r)next_state <= M_OK;elsenext_state <= current_state;endM_OK:beginnext_state <= M_NEXT;          endM_NEXT:beginif(ok_set_r)next_state <= IDLE;elsenext_state <= current_state;enddefault:next_state <= IDLE;endcaseend
end

.

链接:https://pan.baidu.com/s/1Urjjsy4AAq2mesRCbH4JhQ
提取码:s1tv

.

基于FPGA的自动售货机Verilog开发Modelsim仿真相关推荐

  1. 基于FPGA的数字交通红绿灯Verilog开发Modelsim仿真

    Control: Counter: JiaoTongDeng: 附:http://www.jh-tec.cn/archives/7160

  2. 基于FPGA的数字电子密码锁Verilog开发Modelsim仿真

    部分参考代码 (末尾附文件) module MiMaSuo (input clk,input rst_n,input ling,input yi,input er,input san,input si ...

  3. 源码系列:基于FPGA的自动售货机设计(附源工程)

    今天给大侠带来基于FPGA的自动售货机设计,附源码,获取源码,请在"FPGA技术江湖"公众号内回复" 自动售货机设计源码",可获取源码文件.话不多说,上货. 设 ...

  4. 基于FPGA的自动售货机设计2

    题目: 1.设计制作一个自动售货机控制系统. 2.该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能. 3 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储.用户 ...

  5. 基于FPGA的自动售货机

    一.自动售货机的功能 自动售货机中有价值为1元,3.5元,5元的三种饮料,能识别的金额为0.5元,1元,5元,10元.在购买饮料时,当输入金额小于饮料价值时,LED灯100ms闪烁:输入金额与饮料价值 ...

  6. 基于FPGA的自动售货机设计1

    题目: 1.设计制作一个自动售货机控制系统. 2.该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能. 3 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储.用户 ...

  7. 基于51单片机自动售货机设计全套资料

    基于51单片机自动售货机设计(原理图+PCB+文档+程序) 项目编号:0001 硬件构成: 本设计由STC系列单片机+12864显示+电源模块+按键模块+蜂鸣器报警模块等元件组功能介绍: 设有8个按键 ...

  8. 基于51单片机自动售货机实物设计

    基于51单片机自动售货机设计 ( 原理图+PCB+论文+程序+视频讲解) 采用实物设计: 程序编译器:keil 5 编程语言:C语言 资料编号:001 硬件构成:        本设计由STC系列单片 ...

  9. 【FPGA】自动售货机综合实现

    自动售货机综合实现 一.项目需求 1. 售货机模拟项目. 二.要求 三.售货机原理 1. 基本原理 2. 思路架构 3. RTL物理模型实现 四.项目分析解决 五.总结 参考 一.项目需求 1. 售货 ...

最新文章

  1. Silverlight 游戏开发小技巧:轨迹跟随效果
  2. 006 CSS三种引入方式
  3. python创建变量_Python每天一分钟:给类对象动态新增/删除成员变量和方法(函数)...
  4. One order里user status和system status的mapping逻辑
  5. [UE4] Component BluePrint 组合 代替 BluePrint 继承 实现 ECS 结构
  6. python自增_Python 为什么不支持 i++ 自增语法,不提供 ++ 操作符?
  7. 如何查找历史线程阻塞原因_吊打面试官!Java多线程并发 108 道题,你能答对多少?...
  8. 阳新一中2021高考成绩查询,阳新一中2019高考成绩喜报、一本二本上线人数情况...
  9. python教材分析_初中信息技术_初识Python教学设计学情分析教材分析课后反思
  10. Ubuntu18.04 安装gflags及解决错误
  11. 判断浏览器的cookie是否开启
  12. 微信小程序函数传参以及获取调用
  13. 玩转iOS开发:iOS 10 新特性《Siri Kit Intents Extension UI》
  14. 极路由 刷linux,记一次 极路由1S HC5661 TTL root 刷 U-BOOT 不死固件 及 爱快固件-20200320更新...
  15. 华为云麒麟arm架构docker启动redis报错:<jemalloc>: Unsupported system page size
  16. 社区计算机知识,社区公共基础知识备考指导——计算机知识
  17. Vivado FPGA基础设计操作流程(1)
  18. WebView Cache 缓存清除
  19. Mycat(3):mycat的安装
  20. 赵钱孙李称体重,按照由大到小的顺序,打印出四人的姓氏的首字母和体重数(中间用空格隔开,每人一行)

热门文章

  1. 【学术相关】年度重磅|从2020年中科院分区表看IEEE期刊投稿
  2. 哪个牌子的投影仪好?投影仪技术科普
  3. 昆仑分布式数据库Sequence功能及其实现机制
  4. 网站推广88个金牌方法(2008奥运会金牌榜版)
  5. c语言二级程序编写bug,c语言—常见BUG
  6. JZSearch大数据搜索引擎
  7. 操作系统进程同步例题(三)汽车过桥2
  8. Go 语言快速开发入门(基础语法详解,第一节)
  9. 往年计算机一级试题,回顾!往年计算机一级试题及答案解析(3)
  10. 记录D4-23.5.29