本篇文章使用Verilog语言编写实现五选一多路选择器,含有设计代码和测试代码。

一、接口描述

五选一多路选择器接口描述表:

二、设计代码

`timescale 1ns / 1ps
module selecter_5_1(input [7:0]in0,input [7:0]in1,input [7:0]in2,input [7:0]in3,input [7:0]in4,input [2:0]sel,output reg [7:0]out);
always @(*)beginif(sel==3'b000) beginout=in0;endelse if(sel==3'b001) beginout=in1;endelse if(sel==3'b010) beginout=in2;endelse if(sel==3'b011) beginout=in3;endelse if(sel==3'b100) beginout=in4;end
end
endmodule

三、测试代码

`timescale 1ns / 1ps
module selecter_5_1_tb();reg [7:0]in0;reg [7:0]in1;reg [7:0]in2;reg [7:0]in3;reg [7:0]in4;reg [2:0]sel0;wire [7:0]out0;selecter_5_1 selecter_5_10(.in0(in0),.in1(in1),.in2(in2),.in3(in3),.in4(in4),.sel(sel0),.out(out0));  initial beginin0=0;in1=0;in2=0;in3=0;in4=0;# 10sel0=3'b000;in0=1;# 10sel0=3'b001;in0=0;in1=1;# 10sel0=3'b010;in1=0;in2=1;# 10sel0=3'b011;in2=0;in3=1;# 10sel0=3'b100;in3=0;in4=1;        # 30$finish;end
endmodule

四、仿真波形图


由波形图可得,在输入信号sel为000且in0为1时(此时其余输入信号均为0),输出信号out的值等于in0的值为1、在输入信号sel为001且in1为1时(此时其余输入信号均为0),输出信号out的值等于in1的值为1,以此类推。即该五选一多路选择器可根据选择子的值选择不同的路径进行计算。
仅供学习交流,如发现错误,欢迎大家指正。

Vivado 多路选择器(含测试代码)相关推荐

  1. Vivado 程序计数器(含测试代码)

    本篇文章使用Verilog语言编写实现带有优先级的83译码器,含有设计代码和测试代码. 一. 程序计数器,带有同步复位功能,有复位信号rst时,输出指令存储器使能信号为ce(0),表示指令存储器禁用, ...

  2. Tensorflow-gpu安装+Keras安装+Pytorch-gpu安装 经验分享/Win10 (conda安装Cuda和Cudnn) 含测试代码

    Tensorflow-gpu安装+Keras安装+Pytorch-gpu安装 经验分享/Win10 (conda安装Cuda和Cudnn) 含测试代码 注意: 本文中的Cuda和Cudnn安装均采用c ...

  3. MySQL万字总结(含测试代码)

    本文大部分笔记来源于:[狂神说Java]MySQL最新教程通俗易懂 1.MySQL 什么是数据库 数据库(DataBase,简称DB) 概念:长期存放在计算机内,有组织,可共享的大量数据的集合,是一个 ...

  4. 跟着小梅哥初学FPGA ,vivdao开发平台,二选一多路选择器。

    今天正式开始自己的FPGA自学之路,由于导师项目需要,xilinx ZYNQ 7系列的板子,vivado软件平台进行开发,所以自己也直接学习vivado软件开发的板子,我自己学习所用的开发板是xili ...

  5. FPGA零基础学习:基于FPGA的多路选择器设计(附代码)

    FPGA零基础学习:基于FPGA的多路选择器设计(附代码) 大侠好,欢迎来到FPGA技术江湖.本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的& ...

  6. Verilog RTL 代码实战 02——多路选择器MUX

    目录 1.MUX应用 2.二选一MUX 3.四选一MUX 1.MUX应用 ①多路选择器是常见的选通器件,主要用于通道的扩展.复用: ②多路选择器又叫多路选择开关,可以根据需要选通某一路或者某几路从而达 ...

  7. 利用Block Design在Vivado实现三位四选一多路选择器

    一.要求:利用Block Design设计一个三位 4 选 1 多路选择器电路 二.实验原理: 真值表: Block Design原理图 三.设计过程 Block Design 将自己编写的与门,非门 ...

  8. 基于FPGA的多路抢答器设计程序代码与测试代码

    (1) 主程序代码: module who_is_the_best(clk,rset,start,rusher1,rusher2,rusher3,rusher4,rusher5,rusher6,tim ...

  9. FPGA—多路选择器(简单逻辑组合电路)

    摘要:多路选择器是数据选择器的别称.在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关.在选择变量控制下,从多路数据输入中某一路数据送至输出端.对于 ...

最新文章

  1. 微信小程序 解决请求服务器手机预览请求不到数据的方法
  2. linux的yum update命令
  3. 帝国Cms虚拟主机突破上传最大文件2m的限制的方法
  4. 深挖BAT内部级别和薪资待遇
  5. php 嵌套 mysql_PHP中实现MySQL嵌套事务的两种解决方案,mysql嵌套_PHP教程
  6. zk宕机掉与客户端连接过程记录
  7. 目前基于区块链的档案防篡改系统的设计如何实现防篡改
  8. 以太坊代币事件监控_以太坊:什么是ERC20标准?
  9. 津津的储蓄计划(洛谷-P1089)
  10. 字节JAVA研发面试
  11. 面试题 php随机获取概率结果
  12. 对WebBrowser控件设置代理
  13. 纽约部署免费千兆Wi-Fi 30秒可下一部电影
  14. 他一篇论文未发博士毕业!中科院最年轻院士入职浙大!
  15. 【杨中科解惑】我该怎么选择?选择就是放弃
  16. 【转载】钽电容封装详述
  17. 手机支付宝面临的风险和应对(III)---手机支付宝能照搬PC的思路吗?
  18. python报错:Empty suite
  19. Vue3 Mixin的使用方法(全局,局部,setup内部使用)
  20. 代码审计--25--RIPS详细

热门文章

  1. 工作组计算机每次都要链接打印机,局域网打印机问题
  2. 获取Obb包里面的某个资源文件
  3. 谷哥学术2022年2月资源分享下载列表 010/20
  4. shell 浮点数运算bc
  5. python标识符_python – 如何访问pywinauto中的控件标识符
  6. WinForm中TextBox 中判断扫描枪输入与键盘输入
  7. 大型B/S系统的并发问题
  8. DAVINCI DM3730开发攻略——DVSDK4_03和双核CODEC机制介绍
  9. cisco learn book index 2
  10. python 判断三角形