GigE Vision是由AIA制定的通信协议,用来实现在机器视觉领域利用千兆以太网接口进行图像的高速传输。该标准是基于UDP协议,与普通网络数据包不同之处在于应用层协议,应用层协议采用GVCP(GigE Vision控制协议)和GVSP(GigE Vision流传输协议),分别用来对相机进行配置和数据流的传输。图像采集系统软件的实现就是基于这两种协议。下图所示为TIP/IP协议和GigE Vision协议的对比:

GigE Vision定义了主机如何发现、控制千兆以太网相机以及从一个或多个GigE相机采集图像。GigE Vision标准充分利用千兆以太网的几个特征:

(1)      采用5类双绞线,成本低,无需集线器就可以传输一百米,传输带宽高达125MByte/s

(2)      网络化:组建一个网络,可以从多个相机采集图像,所有相机共享同一个带宽;

(3)      支持巨帧模式:GigE Vision相机几乎都支持巨帧模式,运行数据包大小为9014个字节,传输大容量数据包效率高;

当一个GigE Vision设备上电后,它会尝试按照下面的顺序获得IP地址:

(1)      固定IP,如果分配了固定IP,将会采用该IP地址;

(2)      DHCP服务器,如果没有被分配IP地址,它将在网络上搜索DHCP服务器,并请求分配IP地址;

(3)      如果上述两种方法都失败,它将自动假设一个169.254.x.x的IP地址,然后查询网络中该IP地址是否被占用,如果没有,则使用该IP,否则,重复该过程,直到找到一个没有被占用的IP地址;

由于相机可能在任何时候加入到网络中,所以驱动器必须有一些方法来搜索新的相机。为了实现该功能,驱动器会周期性地向网络中广播一个搜索消息包,每个兼容GigeVision的相机在收到该消息后都用自己的IP地址进行应答。下面的算法描述了设备搜索过程:

(1)      主机应用程序以广播的方式向网络中发送搜索消息帧,该消息帧中包含主机的MAC地址和IP地址;

(2)      网络中的所有GigE设备一直在GVCP端口侦听网络状态,当发现有搜索消息帧在网络中传输时就会接收该广播帧。对消息帧进行解包分析后,会将自身相关的配置信息填充到搜索应答器中,这些消息包含GigE设备型号、制造商、IP地址,MAC地址等,最后以单播的方式向主机发送搜索消息应答帧;

(3)      主机应用程序接收到该应答帧,根据需要进行相应的处理,即完成了一次网络GigE设备的搜索过程,相机搜索过程如下图所示:

GVCP协议:

GVCP允许应用程序配置和控制GigE相机,应用程序使用UDP协议发送命令,并等待设备响应,然后发送下一命令,该机制弥补了UDP协议的面向无连接缺点,保证了数据传输的完整性和可靠性。

GVSP协议:

该协议定义了GVSP发射机如何发送图像数据、图像状态等信息到GVSP接收机,GVSP数据包总是在GVSP发射机和接收机之间传输。GVSP通过GVCP对数据包的传输提供了一种可靠性机制

本文来自:http://blog.csdn.net/carson2005/article/details/56030625

GigE Vision简介相关推荐

  1. 【转】GigE Vision简介

    转自:GigE Vision简介_计算机视觉小菜鸟的专栏-CSDN博客_gige vision GigE Vision是由AIA制定的通信协议,用来实现在机器视觉领域利用千兆以太网接口进行图像的高速传 ...

  2. 研究GigE Vision(未完待续)

    博文: GigE Vision简介 千兆网工业相机对应的网卡设置注意事项 GigE Vision : wikipedia英文 GigE-Vision-2.0中文版 附加: GigE Vision Cu ...

  3. 机器人视觉系统(Robot Vision)简介

    机器人视觉系统(Robot Vision)简介 机器视觉系统的组成 机器视觉系统是指用计算机来实现人的视觉功能,也就是用计算机来实现对客观 的三维世界的识别.按现在的理解,人类视觉系统的感受部分是视网 ...

  4. 基于FPGA的GigE Vision相机图像采集方案设计

    1 概述 GigE Vision是一个比较复杂的协议,要在FPGA中完全实现具有较大的难度.如果FPGA作为接收端希望实现GigE Vision相机的配置和图像采集功能,则只需要实现其中小部分功能即可 ...

  5. gige vision协议栈

    大半年的成果-gige vision协议栈 那么接下来是开源呢还是做商业IP 目前已实现: 1.Dhcp分配IP 2.lla 分配IP 3.静态force IP 4.raw 8 流数据,其他图像格式未 ...

  6. 【虹科】深入了解GigE Vision的优势

    深入了解虹科GigE Vision的优势 GigE相机演进的简要背景 传统到优化的GigE GigE Vision的优势 HK HZ-65000-G: 100GigE 相机: GigE相机演进的简要背 ...

  7. FPGA的GigE Vision IP相机图像采集方案设计,转换为千兆UDP,支持10G MAC

    1 概述 GigE Vision是一个比较复杂的协议,要在FPGA中完全实现具有较大的难度.如果FPGA作为接收端希望实现GigE Vision相机的配置和图像采集功能,则只需要实现其中小部分功能即可 ...

  8. 虹科_实现将任何传感器转换成GigE Vision设备

    工程师可以轻松使用先进传感器升级检测系统,同时为未来工业物联网应用做准备.对于使用各类摄像机.传感器和嵌入式平台开发成像系统的机器视觉设计师来说,eBUS Edge是一种软件解决方案,它可以将视觉设备 ...

  9. 索尼第四代 Pregius S CMOS 传感器助力Emergent高速 GigE Vision 面阵扫描相机

    图 :几款 Emergent工业相机--包括屡获殊荣的 HB-25000-SB,均采用索尼的第四代 Pregius S 传感器. Sony Pregius S 是第四代图像传感器,它以先进设计的性能为 ...

最新文章

  1. 云极知客开放平台接口调用方法(C#)
  2. (LeetCode 92)Reverse Linked List II
  3. es6-Set与Map
  4. 第一个QGLViewer程序
  5. java有理数类的封装_java实验报告有理数的类封装.doc
  6. oracle当查询没有输出返回0,ORACLE技术问题专家问答五则
  7. java匿名内部对象_JavaSE 学习参考:匿名内部类和匿名对象
  8. Spring Boot + JPA +MySQL 数据操作及示例环境搭建(自动建表)
  9. Oracle 角色权限表
  10. Selenium私房菜系列6 -- 深入了解Selenium RC工作原理(1)
  11. Python裁剪图片,游戏大图裁小图
  12. 地区与地区编码的相互转换
  13. Linux系统小说源码网站,Linux系统小说源码网站
  14. 火山PC浏览文件和选择文件-通用对话框教程
  15. 小程序学习 - 02 微信小程序案例实践
  16. centos安装python3.8.1_在CentOS 8上编译安装Python 3.8.1
  17. Android安卓——实现发短信功能的代码
  18. NSDTF-DEM格式高程数据转通用的tiff格式高程数据
  19. 用sqlcipher对已有的SQLite数据库加密
  20. Android源码国内源下载

热门文章

  1. 三堂课,破译企业生存密码
  2. python3.7行列式_大矩阵的python行列式
  3. 计算机毕业设计java+jsp企业员工工资管理系统(源码+系统+mysql数据库+Lw文档)
  4. 处理器有k和无k有什么区别?
  5. matlab电磁场散度与旋度,梯度、散度和旋度大揭秘
  6. 可汗学院创始人:GPT-4 将如何改变教育行业?
  7. 1329 - 求梯形的面积
  8. 学习笔记(三):JavaScript中的this
  9. 求二进制数中有多少个1
  10. ieee下载文献的方法