转载:http://www.lujun.org.cn/?p=3714

cadence,有两大验证仿真工具。一个是IUS,一个是IES。

IUS是cadence以前的仿真工具,功能略弱。代表工具,ncverilog。

官方介绍:

IUS(incisive unified simulator)

Cadence IUS allows to perform behavioral simulation on Verilog and VHDL code.

IES是cadence现在的仿真工具,功能强大。代表工具,irun

官方介绍:

IES(incisive Enterprise Simulator)

cadence IES is considered to be one of the most considered tool to automates testbench generation, design verification and analysis from the system level to the gate level.

不过,现在cadence又开发出了新的仿真工具,叫xcelium。代表工具,xrun。

一、仿真模式

cadence的仿真工具,分为单步仿真模式,和多步仿真模式。单步仿真模式,是指,只要一个命令,即可实现仿真。而多步仿真模式,是指,需要多个命令的组合,才可以实现仿真。

cadence的很多工具,带有nc作为前缀。

nc,指native compile,将不同的HDL code转化成一种中间语言(native language),然后统一仿真,为了实现混合语言仿真。

1、多步仿真模式

使用ncvlog(ncsc,ncvhdl),ncelab,ncsim命令来实现仿真。

ncvlog,编译源代码

ncelab,对编译的结果,进行elaborate,建立snapshot文件

ncsim,对snapshot文件进行仿真

以下是仿真的flow

对于不同的源文件,使用不同的工具进行编译

  • systemC: 使用ncsc工具编译

  • VHDL:  使用ncvhdl工具编译

  • VERILOG: 使用ncvlog工具编译

编译完成后,使用ncelab工具,对编译结果进行elaborate,得到snapshot文件,最后在使用ncsim工具,对其进行仿真。

多命令模式的例子:

1

2

3

ncvlog  -f  run.f

ncelab  tb  -access wrc

ncsim tb –gui

第一个命令,run.f是整个RTL代码列表, ncvlog执行以后将生成一个名为INCA_libs的目录和一个名为worklib的目录。

第二个命令,-access选项是确定读取文件的权限,其中的tb是tb文件内的顶层模块名字。ncelba要选择tb文件的顶层module,elaborate之后,会生成snapshot。

第三个命令,选择snapshot进行仿真,-gui启动图形化界面。

2、单步仿真模式

单步仿真模式,包括ncverilog和irun。

早期的IUS,使用ncverilog,进行单步仿真模式,ncverilog,内部会自动调用ncvlog,ncelab,ncsim工具进行仿真。

从IUS8.1开始,ncverilog命令,被替换成irun命令,使用ncverilog,将直接调用irun命令。而irun工具,内部也会自动调用ncvlog,ncelab,ncsim工具进行仿真。

以下是官方文档中说明的:

because irun supports all features of ncverilog, including its command-line options, Cadence is replacing ncverilog with irun. Beginning with the IUS 8.1 release, using the ncverilog command will invoke irun。

二、irun工具

irun支持各种源程序文件输入,verilog,systemverilog,VHDL,verilog AMS,VHDL AMS,specman e,和其他语言程序编写的文件如C,C++,并且使用合适的编译器对其进行编译。当输入的文件,都编译完毕后,irun自动启动ncelab,去elaborate,产生snapshot,最后启动ncsim仿真器去仿真snapshot。

  • .v文件,使用ncvlog

  • .sv文件,使用ncvlog

  • .vhd文件,使用ncvhdl

  • .e文件,使用sn_compile.sh脚本

irun启动后,会在生成目录,自动创建INCA_libs文件夹。

irun只是个脚本,能根据源程序的类型(如verilog,VHDL等)自动调用相应的编译程序(ncvlog,ncvhdl等),然后依次是elaborate,simulate。

irun的选项很多,下面列举一些常用选项:

选项

说明

-64bit

使用64bit irun模式

-f

指定file list

-vlog_ext

修改verilog文件的默认后缀

如 -vlog_ext  .vvv,.vv ,修改verilog的默认后缀为.vvv和.vv

-c

只生成snapshot,不仿真

-access

设置访问权限

-nclibdirpath

指定 INCA_libs 目录

-R

只仿真,需要有提前生成的snapshot

-sv

支持systemverilog语言

-uvm

开启uvm,自动编译uvm库

-uvmhome

指定uvm库位置

CDNS-1.2 默认IES中的uvm-1.2版本

-uvmnoautocompile

不自动编译uvm库

-clean

在run执行之前,删除INCA_libs文件夹

-l

指定输出log文件

-seed

指定随机种子数

-top

指定顶层模块

-hdlvar

指定 hdl.var文件

-cdslib

指定cds.lib文件

-loadpli1

指定读取外部读取的库文件

-prep

打开prep mode,不仿真,生成多步仿真的脚本文件

生成4个文件,

ncvlog_ver.args: ncvlog工具的参数文件

ncelab.args  ncelab工具的参数文件

ncsim.args:  ncsim工具的参数文件

RUN_NC: 仿真的脚本文件,调用ncvlog,ncelab,ncsim。

-checkargs

检查irun的输入参数,是否有误

-helpargs

打印每个输入参数的作用

-helpall

打印帮助信息

+xxx=yyy

向验证环境传递仿真参数xxx,值为yyy

cadence验证仿真工具IUS和IES相关推荐

  1. 转 | cadence验证仿真工具IUS,IES,irun和xrun

    以下内容均转自http://www.lujun.org.cn/?p=3714 IUS和IES cadence,有两大验证仿真工具.一个是IUS,一个是IES. IUS是cadence以前的仿真工具,功 ...

  2. Cadence Sigrity仿真工具简介及案例演示

    Power Integrity Cadence 电源完整性(PI)解决方案基于Sigrity专利技术,对PCB电路板和IC封装的交流和直流电源可提供精确的仿真和设计保证.每种分析工具都能与Cadenc ...

  3. [xrun]Cadence Xcelium仿真环境搭建及常用Option总结

    Cadence数字电路验证仿真工具IUS和IES 前言:Cadence,有两大验证仿真工具.一个是IUS,一个是IES.本文着重介绍ISE,其代表性的工具为xrun,是数字电路验证最重要的工具之一. ...

  4. 处女项目后关于IC验证经验的总结

      http://www.cnblogs.com/jyaray/archive/2011/05/11/2043091.html   完整的.详细的设计规范是验证工作的重要起点. 验证工作根据设计规范( ...

  5. mipi协议_Cadence发布业界首款面向多协议PHY的验证IP产品

    Cadence发布业界首款面向多协议PHY的VIP产品 Cadence全新PHY VIP支持实现PCIe 5.0,USB3/4,DDR5,LPDDR5,HBM及MIPI CSI-2和DSI 2.0等复 ...

  6. 年薪30W+的IC验证工程师究竟是做什么的?一文为你讲解清楚

    众所周知IC行业是个投入非常大的行业,做一颗芯片开模的费用就达到了百万级,在芯片设计的过程中光BUG就可以达到上千个.如果这些BUG没有被发现的话,就可以遭受巨大的损失. 因此验证就是保证芯片功能正确 ...

  7. 一位IC验证工程师工作多年后的感悟

    (本文摘自追梦人_小山的新浪博客) 在学校时就对IC有着浓烈的兴趣,毕业后也如愿做了IC验证工作.经过2年的学习和实践,对验证的理解零零散散也有不少,但总没法形成一个比较完整全面的经验谈.这里把我对验 ...

  8. FPGA之道——FPGA开发流程之项目方案与FPGA设计方案

    文章目录 前言 FPGA开发流程 背景知识的分析与研究 项目方案的设计与制定 写清楚项目背景 写清楚项目需求 写清楚方案框架 写清楚算法细节 确保逻辑完备性 确保实现无关性 确保书面易懂性 算法可行性 ...

  9. 高速系统设计自学笔记——信号完整性2

    反射的产生和预防 在电子系统中,一个电气网络就是一系列的金属导体及由这些导体连接在一起的所有输入输出端口的总和,如下图所示的网络结构.从定义上可以看出,一个电气网络应该至少包括三种元素:驱动.负载及互 ...

最新文章

  1. Kimera:一个基于度量语义的SLAM开源库
  2. 求伯君领衔 5 代技术人对话,00 后浪来袭 1024 程序员节
  3. tensorflow学习笔记(三十二):conv2d_transpose (解卷积)
  4. 02.字符串常量池 ? class常量池? 运行时常量池?
  5. 批处理写入以及动态与参数化SQL,数据库的性能如何?
  6. android横向滑动选项卡,android – 如何使用可滑动选项卡实现PageTransformer
  7. 别告诉我你懂Javascript
  8. linux ssh证书登录
  9. ‘module‘ object has no attribute ‘computation‘
  10. iOS开发,自定义字体,字体名称查询
  11. 《机器视觉算法与应用》第3章 机器视觉算法之光学字符识别(OCR)——学习笔记
  12. php 问卷调查,php 问卷调查结果统计
  13. 信息系统项目管理师 第七章-项目成本管理
  14. JDO和JPA的区别是什么
  15. python3 sleep 延时秒 毫秒
  16. android 渠道方案,Android 不同渠道差异代码
  17. 锁仓怎么解_锁仓怎么解锁?解锁的方法是什么?
  18. Android开发案例Onclick点击事件switch调用分类04
  19. 京东-Java中级面试题分享-
  20. MultiDex 相关问题解决记录

热门文章

  1. 使用trac进行项目管理
  2. 疫情+874万应届毕业生带来的双倍 “快乐”
  3. 软件需求分析案列_软件需求分析(案例)
  4. Window:楼上清风
  5. NET开发资源精华收集
  6. 软考高级系统架构设计师系列论文二:论软件的性能优化设计
  7. js干货-Bom,Dom事件及各种案例
  8. BlazeVideo Video Editor(视频编辑软件)v1.0.0.1官方中文版
  9. Excel从入门到入土
  10. 经验分享 | 研究生新生要怎么看论文?