vivado菜单指南:

创建设计源文件(add or create design sources):

在创建源文件后,会要求对其所在模块进行创建:

而后会在资源区看到我们创建的模块:

下面以一个工程为例:

RTL原理图如下:

关于引脚绑定:

 

学习查看用户手册和开发板原理图是一个硬件工程师的基本要求。

然后点击保存,会要求生成一个XDC约束文件(用于引脚绑定)

在此说明,我们也可通过书写XDC约束文件来进行引脚绑定。本文使用第一种方法,先绑定引脚,让系统自动生成XDC约束文件。

至此,我们已经完成了一个FPGA工程,接下来我们进行编译部分。

在项目菜单(最左边)选择Run Synthesis,逻辑综合之后,我们要进行一个系统时钟的约束,点击Open Synthesized Design下的Constraints Wizard,输入系统时钟频率为50MHz,然后回车结束。

完成后,我们可以直接选择生成比特流文件(Generate Bitstream),在这个过程中,它会自动进行布局布线(Implementation)。

完成布局布线后,选择打开硬件管理(Open Hardware Manager),进行硬件下载与验证。

在进行硬件验证前,我们可以先进行仿真(Simulation)。按上述创建设计源文件的方法,创建仿真文件(Simulation Sources),输入代码后,点击运行(Run Simulation),选择行为级(Behavioral)仿真。

FPGA学习 Vivado使用篇相关推荐

  1. FPGA学习 Vivado使用篇之ILA(逻辑分析仪)

    ILA(Integrated Logic Analyzer),集成逻辑分析仪,允许用户在FPGA设备上执行系统内的调试.作为一名FPGA工程师,掌握在线调试工具进行时序分析是必备的职业技能之一. IL ...

  2. FPGA学习之串口篇

    FPGA学习之串口篇 文章目录 FPGA学习之串口篇 前言 二.UART发送代码 三.UART接收代码 三. 总结 前言   UART(Universal Asynchronous Receiver/ ...

  3. ZYNQ之FPGA学习----Vivado功能仿真

    1 Vivado功能仿真 阅读本文需先学习: FPGA学习----Vivado软件使用 典型的FPGA设计流程,如图所示: 图片来自<领航者ZYNQ之FPGA开发指南> Vivado 设计 ...

  4. ZYNQ之FPGA学习----Vivado软件使用

    1 Vivado软件使用 Vivado Design Suite 是 Xilinx 公司的综合性 FPGA 开发软件,可以完成从设计输入到硬件配置的完整FPGA 设计流程.Vivado学习使用版本为V ...

  5. FPGA 学习笔记:Vivado 2020.2 MicroBlaze MIG 测试 DDR3 篇尾

    FPGA 学习笔记:Vivado 2020.2 MicroBlaze MIG 测试 DDR3 篇一 FPGA 学习笔记:Vivado 2020.2 MicroBlaze MIG 测试 DDR3 篇二 ...

  6. FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置

    前言 Vivado 版本: Vivado 2018.2 + Vivado HLS 2018.2, Vivado HLS 2018.2 用于 SDK 开发,C语言开发 创建基于MicroBlaze的 [ ...

  7. 奋斗的小孩系列 FPGA学习altera系列: FPGA学习altera 系列 第二十一篇 数码管设计

    奋斗的小孩系列 FPGA学习altera系列: FPGA学习altera 系列 第二十一篇 数码管设计 作者:奋斗的小孩 郝旭帅(转载请注明出处) 大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是 ...

  8. Cyclone V SoC FPGA学习之路第二章:硬件篇

    Cyclone V SoC FPGA学习之路第二章:硬件篇(内部资源) 前言 上一章了解了<cycloneV device datasheet>,其中数据手册里重点介绍了电源要求,时序参数 ...

  9. 奋斗的小孩系列 FPGA学习altera系列: 第十七篇 自动售货机设计

    奋斗的小孩系列 FPGA学习altera系列: 第十七篇 自动售货机设计 作者:奋斗的小孩 郝旭帅(转载请注明出处) 大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术 ...

  10. FPGA学习篇之分频器

    FPGA学习篇之分频器 文章目录 FPGA学习篇之分频器 前言 一.偶数分频 二.奇数分频 总结 前言   分频器是数字电路中最常用的基本电路之一,目的是对输入时钟进行分频,输出任何低于输入时钟的频率 ...

最新文章

  1. 大型网站架构系列:缓存在分布式系统中的应用(二)
  2. 泰语7个元音变形_大概是最全的音标总结--元音部分
  3. Android内容提供程序
  4. 异源遥感图像匹配的一种思路——智箭火眼2020科目三赛题总结
  5. Spring系列之BeanPostProcessor分析
  6. 什么是python扩展库_什么是目前比较常用的Python扩展库管理工具
  7. 怎么做软件安全性测试
  8. python迭代器是什么意思_python 迭代器(第二次总结)
  9. 关于MJ刷新的报Too many arguments to function call, expected 0, have *问题
  10. 《vSphere性能设计:性能密集场景下CPU、内存、存储及网络的最佳设计实践》一1.2.4 存储...
  11. HeadFirstJava 12 图形用户接口
  12. 24 Hour Wallpaper for Mac(动态桌面壁纸软件)
  13. 如何自学C语言(一个菜鸟的学习路)
  14. 论文翻译:2021_Towards model compression for deep learning based speech enhancement
  15. 安徽农业大学计算机考研分数线,安徽农业大学2019年考研复试分数线已公布
  16. R语言之导入数据源(二)
  17. 1078 字符串压缩与解压
  18. 空间数据计算引擎GeoSpark调研
  19. 高数_第6章无穷级数__绝对收敛_条件收敛
  20. java登入ajxs_微信小程序之获取并解密用户数据(获取openid,nickName等)

热门文章

  1. 整理最新java面试宝典2019
  2. 数据驱动的物流网络体系
  3. Adobe DreamweaverCS4 beta+可用序列号,FireworkCS4 beta及SoundboothCS4 beta 官方下载地址...
  4. 中文字符集编码unicode,gb2312,cp936,GBK,GB18030介绍
  5. 字体直链提取器_MIUI主题直链提取器下载-MIUI主题直链提取软件 v1.3.5_5577安卓网...
  6. 联通发送wap push备忘录
  7. 用c语言把蜂鸣器封装成函数,C语言蜂鸣器问题
  8. 第六章 jQuery 选择器——课后作业:
  9. jetson nano 自动调节风扇转速
  10. linux系统安装花生壳