模十计数器

该计数器具有暂停和异步复位功能,初学verilog,如有错误,敬请指出!

1.verilog代码

module counter10(clk,rst,pause,out,counter);input clk;
input rst;
input pause;
output out;
output counter;reg[3:0] counter;always@(posedge clk or negedge rst)beginif(~rst) counter <= 4'b0000;else if(pause)    counter <= counter;else if(counter == 4'b1001)   counter <= 4'b0000;else counter <= counter + 1;
endassign out = counter[3] & counter[0];endmodule

2.testbench

`timescale 1ns/1ns
module counter10tb;reg clk;
reg rst;
reg pause;
wire out;
wire[3:0] counter;
initial beginclk = 0;rst = 0;pause = 0;#22 rst = 1;#500 pause = 1;#100 pause = 0;#1000 $finish;endalways #10 clk = ~clk;counter10 u(.clk(clk),.rst(rst),.pause(pause),.out(out),.counter(counter));endmodule

3.波形

4.原理图

计数器verilog代码(quartus II)相关推荐

  1. Matlab与FPGA数字信号处理系列——DDS信号发生器——Quartus ii 原理图法利用 ROM 存储波形实现DDS(1)

    MATLAB 与 FPGA无线通信.图像处理.数字信号处理系列 系统框图 基于FPGA的DDS信号发生器系统框图如下图所示,采取查表法. (1)对一个完整周期的波形进行采样,将采样点存在ROM中: ( ...

  2. Quartus ii 与 Verilog入门教程(1)——Verilog实现8位计数器

    下载:Quartus ii与verilog实现8位计数器,Modelsim仿真工程 1.计数器原理 在时钟作用下,输出信号从0开始,每个时钟的上升沿输出加1.当复位信号有效时,输出清零.计时实现只需累 ...

  3. EDA技术与应用上机任务 电子信息类 Quartus II或Quartus Prime D触发器、半减器、全减器、可加减控制的50进制加减计数器。

    EDA技术与应用上机任务书 上机目的 熟悉掌握Quartus II或Quartus Prime开发工具的使用. 掌握利用硬件描述语言(Verilog)设计简单程序的步骤及方法. 掌握若干组合逻辑电路及 ...

  4. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  5. EDA(Quartus II)——十进制加法计数器设计

    目录 实验目的: 实验预习: 实验讲解1: D触发器和锁存器的VerilogHDL描述: 实验讲解2: D触发器的设计 实验内容:十进制加法计数器设计 实验总结 实验目的: 熟悉QuartusⅡ软件的 ...

  6. (原創) Verilog入門書推薦2:數位系統實習 Quartus II (SOC) (Verilog)

    Abstract 之前曾經推薦過一本Verilog的薄書,這次再推薦一本適合FPGA與Quartus II的Verilog入門書籍. Intrduction 作者:陸自強 出版社:儒林圖書公司 語言: ...

  7. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  8. 【数字系统】简单逻辑电路设计:与非门/逻辑门 Quartus II 环境/Verilog 语言/编程+仿真+开发板/FPGA/CPLD/EDA

    一. 实验要求 1. 实现二输入与非门电路以及其他简单门电路: 2. 在Quartus II 环境下,运用Verilog HDL 语言进行编程开发,并完成对电路工作情况的仿真模拟: 3. 完成配置程序 ...

  9. FPGA基础知识18(在Quartus II下产生无源代码网表设计文件方法 QXP VQM 加密文件)

    需求说明:IC设计基础 内容       :代码保密设计 来自       :时间的诗 原文:http://blog.csdn.net/da895/article/details/7948318 在Q ...

  10. (原創) 如何使用SignalTap II觀察reg與wire值? (SOC) (Verilog) (Quartus II) (SignalTap II)

    Abstract 撰寫Verilog時,雖然每個module都會先用ModelSim或Quartus II自帶的simulator仿真過,但真的將每個module合併時,一些不可預期的『run-tim ...

最新文章

  1. cc2530定时器和捕获比较_STM32学习日志——输入捕获实验(20.06.26)
  2. Qt Creator在设计模式下编辑3D资产
  3. The NVIDIA driver on your system is too old (found version 10000). Please update your GPU driver by
  4. Sublime Text 3 注册码
  5. Python机器学习——概念及其物理解释
  6. IBatisNet -- 保护你的配置文件及映射文件信息
  7. 如何用UE4制作2D游戏文档(五)——战斗篇
  8. Qt Creator配置FreeImage库
  9. 因严重 OpenSSL 漏洞,Fedora 37 推迟至 11 月中旬发布
  10. [bzoj2959][动态树]长跑
  11. 未能连接一个windows服务器,Win7出现未能连接一个Windows服务的解决办法
  12. android视频编码格式,Android,ios平台上x264编码实时视频参数设置与优化 | 贝壳博客...
  13. Hadoop 容错之1.X的单点故障 到 2.X的HA和HDFS Federation
  14. ESP8266连接阿里云--MQTT协议
  15. c语言中怎么使用float,float在c语言中怎么用
  16. Word删除空白页方法汇总
  17. 代码 羊角螺旋_机电设计中的数学之美——羊角螺旋让你平稳过弯
  18. ES5和ES6的继承有哪些优劣?
  19. JAVA abstract
  20. Cadence OrCAD Capture 检索和定位功能的介绍图文视频教程

热门文章

  1. 软件工程毕业答辩常问的问题
  2. 支持alpha通道的视频编码格式以及容器类型汇总
  3. 基于51单片机的电子称称重压力检测阈值报警系统方案原理图设计
  4. 新版谷歌开启flash的方法
  5. ucos II 操作系统
  6. 蔡勒公式整理——给日期计算星期几
  7. oppo(不root)手机查看万能钥匙破解的wifi密码实例
  8. 古诗词知识图谱(一)
  9. 微型计算机说明书,B52微机系统使用手册.doc
  10. 互补滤波系数_四元数+互补滤波