一、实验目的

1、掌握时序逻辑电路的设计方法;

2、掌握基于 QuartusII 集成开发环境的时序逻辑电路设计流程;

3、熟练掌握VerilogHDL语言;

4、熟练掌握 DE2-115 开发板的使用方法;

二、实验任务及要求

1.任务一:计数器设计与仿真

设计一个模 200 的二进制加法计数器并在 quartusII5.1 中仿真。

2.任务二:分频器设计与仿真

设计并实现偶数分频器,对开发板上的 50Mhz 时钟分频,得到一个5MHz 的时钟。

3.任务三:实现一个带有闪烁功能的共阳极七段数码管的显示译码控制电路(在 1 个数码管上动态循环显示 0~F,每个数字显示时间为 1s)

三、实验原理与步骤

任务一

(1) 步骤:

①编写Verilog HDL语言,实现模200的二进制加法计数器;

②编译,编译成功后建立仿真波形文件,并调整波形文件尺度,直至波形窗口的竖直虚线出现;

③按格子给各输入赋值,计数结果用Unsigned Decimal显示,并保存仿真波形文件;

④执行仿真命令,观察得到的输出的值,进行逻辑分析;

⑤任务一完成,此时可关闭工程。

(2)源程序代码

任务二

(1) 步骤:

①编写Verilog HDL语言,实现偶数分频器;

②编译,编译成功后建立仿真波形文件,并调整波形文件尺度,直至波形窗口的竖直虚线出现;

③按格子给各输入赋值,并保存仿真波形文件;

④执行仿真命令,观察得到的输出的值,进行逻辑分析;

⑤任务二完成,此时可关闭工程。

(2) 源程序代码

任务三:

①步骤

实现一个带有闪烁功能的共阳极七段数码管的显示译码控制电路(在 1 个数码管上动态循环显示 0~F,每个数字显示时间为 1s)。

可在 clk 的高电平期间让数码管亮,这 clk 低电平期间让数码管灭,达到 闪烁的效果。也可用某个计数器来实现闪烁效果。

②源程序代码

四、实验结果与分析

1.任务一

2.任务二

3.任务三

4.实验总结

经过三次的eda实验课程,已经可以基本掌握Verilog HDL语言的基本语法,也可以用这种语言完成一些加法器、全加器、分频器等设计,对于比较复杂的器件设计,比如本次实验的任务三,通过课上向老师询问解决办法,以及课前对相应的理论知识进行了很好的研习,使得这次实验的任务圆满完成。以后也要在课前及时回顾本次实验课所需的理论知识,避免在课上手忙脚乱,一时半会儿对本次实验手足无措,同时也希望自己在eda上的能力可以进一步得到提升。

时序逻辑电路设计与仿真相关推荐

  1. matlab 与非门 simulink,基于MATLAB的时序逻辑电路设计与仿真

    <基于MATLAB的时序逻辑电路设计与仿真>由会员分享,可在线阅读,更多相关<基于MATLAB的时序逻辑电路设计与仿真(19页珍藏版)>请在人人文库网上搜索. 1.成绩 MAT ...

  2. 实验二 组合逻辑电路设计;实验三 时序逻辑电路设计

    文章目录 实验二 组合逻辑电路设计实验报告 实验三 时序逻辑电路设计实验报告 实验二 组合逻辑电路设计实验报告 一.实验目的 1.加深理解组合逻辑电路的工作原理. 2.掌握组合逻辑电路的设计方法. 3 ...

  3. 双 JK 触发器 74LS112 逻辑功能。真值表_时序逻辑电路设计(一):同步计数器...

    时序逻辑电路设计(一):同步计数器 时序电路的考察主要涉及分析与设计两个部分, 上文介绍了时序逻辑电路的一些分析方法,重点介绍了同步时序电路分析的步骤与注意事项.本文就时序逻辑电路设计的相关问题进行讨 ...

  4. 零基础学FPGA(五):时序逻辑电路设计之计数器(附有呼吸灯实验、简单组合逻辑设计介绍)

    目录 日常·唠嗑 前言 一.认清逻辑设计 二.时序逻辑电路设计 三.扩展:呼吸灯实验 日常·唠嗑 第一次建立<零基础学FPGA>专栏,是在2021年2月2日,已经过去了一年了,目前只更新了 ...

  5. 时序逻辑电路设计实例

    时序逻辑电路设计实例: [例1]触发器设计实例 module dff( q, data, clk);output q;input data, clk;reg q;always @( posedge c ...

  6. 异步时序逻辑电路设计方法(统一使用上升沿触发的触发器)

    在异步时序逻辑电路设计部分停留了好些时候, 思维一度陷入混乱, 但最终还是坚持了下来, 并找到了一种设计异步时序逻辑电路的方法. 这里肯定会有很多朋友问道, 既然已经掌握了同步时序逻辑电路的设计方法, ...

  7. 【FPGA入门教程】(六)时序逻辑电路设计

    用always@(posedge clk)描述        时序逻辑电路的基础--计数器(在每个时钟的上升沿递增1) 例1.四位计数器(同步使能.异步复位) // Module Name: coun ...

  8. FPGA数字系统设计(6)——时序逻辑电路设计

    用always@(posedge clk)描述 时序逻辑电路的基础--计数器(在每个时钟的上升沿递增1) 例1.四位计数器(同步使能.异步复位) // Module Name: counter_4bi ...

  9. HEU大二数电时序逻辑电路设计实验

    Contents 1 实验目的 2 实验仪器 3 子任务 3.1 消抖电路 实验原理 实验步骤 具体实现 问题解决 3.2 简易篮球比赛计分器 实验原理 实验步骤 具体实现 问题解决 4 结论心得 1 ...

最新文章

  1. poj 1679 次小生成树
  2. Navicat客户端PostgreSQL连接报错:Could not connect to server:Connection refused(0x00002740/10061)
  3. 惊艳了!升级版的 APDrawing,秒让人脸照变线条肖像画
  4. 垃圾收集简介 - GC参考手册
  5. matlab对像素邻域操作,matlab图像的邻域操作与块操作
  6. java jcifs 速度_java – JCIFS:文件检索太慢而无法使用
  7. handler原子锁_Linux的原子操作与同步机制
  8. 历史上最有影响力的10款开源项目
  9. 爬虫5-BeautifulSoup模块简解2
  10. Bootstrap3 栅格系统之列平移
  11. drools 7.x定时器
  12. [置顶] Responder一点也不神秘————iOS用户响应者链完全剖析
  13. 禁用AutoCAD的命令
  14. Atitit 常见软件设计图纸总结 目录 1.1. ui原型图与html 2 1.2. 业务逻辑 伪代码 各种uml图 2 1.3. 总体设计图纸 结构图 层次图 架构图 2 1.4. 业务逻辑
  15. 【MFC开发(5)】单选按钮控件RADIOBUTTON
  16. 计算机老师教育叙事,信息技术教育叙事范文10篇 初中
  17. android iphone 记事本,手机上用什么记事本软件好?iPhone求推荐一款便签记事本app...
  18. 现代的linux和windows7,Windows 7 Vs. Linux谁更强
  19. win7睡眠功能无法使用(睡眠按钮变灰)
  20. 2023年日程安排APP哪个好用?日程提醒软件用哪个?

热门文章

  1. Kubernetes容器网络(二):Calico网络原理
  2. html在线浏览代码,Js实现HTML代码预览功能,直接显示网页代码运行效果
  3. 黑咖啡热控减肥 喝对方法快速瘦身
  4. 手动实现简单的 文本聚类算法 词袋模型+consin相似度+UPGMA聚类
  5. Nginx 内存池剖析
  6. 放置大石头的艺术:让你的效率翻倍
  7. 洛杉矶儿童医院连续第二年名列美国西部第一、全美第五的儿童医院
  8. android studio 集成科大讯飞TTS在线语音合成
  9. 活美课堂 活美教师 活美学生
  10. 便利贴--22{Avue表格自定义以及适应高度}