文章目录

  • 前言
  • 一、相关概念
    • 1.半加器
    • 2.全加器
  • 二、原理图输入实现全加器
    • 1.半加器实现
    • 2.半加器仿真
    • 3.全加器实现
    • 4.硬件下载
  • 三、总结
  • 参考链接

前言

在做这里的学习之前,需要先把Modelsim SE 安装好,Quartus-II及其固件库等,这个实验本身并不复杂,但是在做的过程中会有很多小的地方报错,按步骤一步一步来,否则很容易出错。

一、相关概念

1.半加器

半加器电路是指对两个输入数据位相加,输出一个结果位和进位,没有进位输入的加法器电路。 是实现两个一位二进制数的加法运算电路。
真值表如下

2.全加器

全加器是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。常用二进制四位全加器74LS283。
真值表如下(Ai为被加数,Bi为加数,相邻低位来的进位数为Ci-1,输出本位和为Si。向相邻高位进位数为Ci)

半加器与全加器区别
半加器没有接收进位的输入端,全加器有进位输入端,在将两个多位二进制数相加时,除了最低位外,每一位都要考虑来自低位的进位,半加器则不用考虑,只需要考虑两个输入端相加即可。

二、原理图输入实现全加器

1.半加器实现

新建工程
①File->New Project Wizard
②next至项目名称设置

③选择目标芯片:cycloneIVE系列的EP4CE115F29C7

④EDA Tool Setting设置

确认信息后finish即可。
新建原理图文件
①file->new,选择Design Files

②双击原理图空白处,从“ Symbol”窗中选择需要的符号,或者直接在“name”文本框中键入元件名

③分别调入元件and2,xnor和输入输出引脚input和output,拖动引脚即可连接。然后双击input和output即可修改引脚名。

④编译

调试与仿真
①为了构成全加器的项层设计,必预将以上设计的半加器half_adder.bdf设置成可调用的元件。即可将当前文件h_adder. bdf变成一个元件符号存盘,以待在高层次设计中调用。
File->Create/Update->Create Symbol Files for Current File

2.半加器仿真

①新建文件,file->new->university program VWF

②输入波形文件。在波形文件编辑器左端大片空白处双击,点击“node finder”按钮。

③点击“list”按钮,则半加器中所有的输入输出引脚全部出现在对话框左边。再在该界面上点击“>>”,则把左边所有的端口都选择到右边,进入波形

完成设置之后出现波形

④设置输入波形输入取值。方法可以是选中某段需要设置数值“1”的波形,然后在工具栏上点击按钮 ,即可。反之设置“0”,或其余数值同法可行。

⑤仿真。先保存文件为“half_adder.vwf”,点击工具栏上仿真按钮 ,完成后会自动跳出仿真后的文件

出现报错
做到这里,会出现报错,这时需要进行一些设置。
①tools->options

②tools->Launch silutation…

仿真!!!
分别点击两个仿真按钮即可

3.全加器实现

①在之前的项目上新建一个原理图,3个input(ain,bin,cin),2个output(cout,sum),2个half_adder(自己上一步建立的可调用元件),1个or2,连接如下:

②设置为顶层文件project→set as top_level entity
③仿真,步骤和上面半加器仿真相同(在仿真之前要先将.bdf文件编译一下)

4.硬件下载

①引脚绑定
引脚绑定前先要确定具体硬件电路,即目标芯片与外围电路(输入、输出显示等)的连接情况。实验室使用的ED2-115开发板,除了核心FPGA芯片外,还自带一些外围输入输出电路。我们就是利用这些输入输出电路来进行硬件测试。
开发板如下:

全加器引脚绑定设计开发板上的18个拨码开关选其中三个,SW0,SW1,SW2分别接a,b,c(开关向上拨和向下拨分别显示输入是高还是低电平);LED0,LED1分别接cout和sum,灯亮表示输出为“1”,灯灭表示输出为“0”。
硬件设计好后,还需要查引脚图(引脚图见附录)进行引脚绑定。
从 附 录 “ 表 4-1 拨 动 开 关 引 脚 配 置 ” 中 找 到 输 入 的 三 个 开 关
SW0,SW1,SW2,再找到对应的“FPGA引脚号”。如图:

在附录的表 4-3 中查找到 LED 的引脚配置

assignments→pin planner,在弹出的绑定窗口中填入以下信息

绑定之后再进行一次编译,之后就可以下载到硬件测试了。

②硬件下载
连接开发板,点击软件工具栏上的按钮

点击按钮“hardware setup…”,然后在弹出的对话框中选择“USB blaster”,再点击“ok”

如下操作

三、总结

在做这里的学习之前,需要先把Modelsim SE 安装好,Quartus-II及其固件库等,这个实验本身并不复杂,但是在做的过程中会有很多小的地方报错,按步骤一步一步来,否则很容易出错。一开始不是很理解全加半加这些概念,但是在全加器仿真这里,因为需要用到上一步的半价器,突然就明白了这两个概念,两个半加器组成全加器(我可太笨了呜呜)。

参考链接

这两篇写得非常仔细,强烈推荐,我之前错了好多次,后面跟着这个做一下就成了。
QuartusⅡ 13.1 安装
Modelsim SE 安装

Quartus-II入门(全加器)相关推荐

  1. 【EDA实验一】Quartus II 软件和 DE2-115 开发板 使用入门实验

    Quartus II 软件和 DE2-115 开发板 使用入门实验 文章目录 一.实验目的 二.实验任务及要求 三.实验原理与步骤 四.实验结果与分析 一.实验目的 熟悉 Quartus II 开发环 ...

  2. Quartus II 软件和 DE2-115 开发板使用入门

    文章目录 一.实验目的 二.实验任务及要求 三.实验原理与步骤 1.实验原理 2.实验步骤 3.源程序清单以及注释 四.实验结果与分析 一.实验目的 1.熟悉 Quartus II 开发环境,掌握原理 ...

  3. FPGA极易入门教程----工具篇(2)Quartus II 的在线调试工具 In-System Sources and Probes(ISSP)

    1.什么是ISSP?ISSP有什么用? Quartus II提供了In-System Sources and Probes Editor调试工具,通过JTAG接口使用该工具可以驱动和采样内部节点的逻辑 ...

  4. Quartus ii 与 Verilog入门教程(1)——Verilog实现8位计数器

    下载:Quartus ii与verilog实现8位计数器,Modelsim仿真工程 1.计数器原理 在时钟作用下,输出信号从0开始,每个时钟的上升沿输出加1.当复位信号有效时,输出清零.计时实现只需累 ...

  5. 图文解说Quartus II使用入门

    本文以31以内的奇数倍分频为例总结一下Quartus II建立工程.编译.仿真的详细过程. 建立工程. (1)选择File->New Project Wizard,弹出新建工程对话框,输入工程路 ...

  6. Quartus II 安装教程—FPGA入门教程

    Quartus II 工具安装一般分为两个部分,首先是开发工具本身的安装,其次就是器件库的安装,我们可以根据我们的需要选择相应的器件库来安装,这里我们使用Cyclone IV的FPGA,即安装Cycl ...

  7. Quartus II 的入门级使用

    好久没有用VHDL写东西了,今天需要完成一个项目,重新复习一下 新建工程 新建工程 file-->New Project Wizard, next, 选择存放的路径+名字(project+top ...

  8. Quartus II开发软件中的宏模块 (转摘)

    Quartus II开发软件中的宏模块 (转摘) RAM宏模块 宏模块名称   功能描述 csdpram    参数化循环共享双端口RAM lpm_ram_dp   参数化双端口RAM lpm_ram ...

  9. Quartus II自带仿真工具的使用

    刚入门EDA就踩到坑(我觉得应该称之为quartus II软件的一个bug),折腾了很久,百度也搜索不到好的经验,只好自己总结了. 我得澄清一下,这篇文章并不能"包治百病",写这篇 ...

  10. Quartus II 上手攻略

    第一次接触EDA实验,对这方面的相关操作并不熟悉.本篇文章结合上课内容和B站Quartus进行整理,总结一下Quartus 这款软件的基本使用. 参考的B站教学链接:<Quartus II 软件 ...

最新文章

  1. JVM虚拟机参数配置官方文档
  2. python中查看显卡状态
  3. 开源硬件_如何参与开源硬件协会
  4. react android 串口,Maix Bit(K210) 与上位机串口通信
  5. 腾讯未成年保护措施再优化,专治孩子忽悠家长帮过人脸识别
  6. 【图像处理】MATLAB:形态学
  7. JavaScript中引号的多重嵌套
  8. thinkphp5 return 返回空_杨丞琳演唱会意外踩空,从两层楼高的舞台掉落,李荣浩心疼发文...
  9. linux的ps命令
  10. 数据可视化大屏案例系列 1
  11. 推荐一款可自创外星文字的工具
  12. openlayers中比例尺的计算原理
  13. windows内网的情况下如何访问外网
  14. 解决vmware下虚拟机关机重启ip改变
  15. 实现一个简洁朴素的左侧贴边导航栏html+CSS+javaScript
  16. 基于STM32MP157的鸿蒙学习(一)— 资料下载及入门
  17. 卷积神经网络—全连接层
  18. ABAP bgRFC 实例
  19. vsco和lr哪个好_vsco、泼辣修图、snapseed哪个好用?使用对比
  20. [凸多边形最大内切圆][半平面交]Most Distant Point from the Sea POJ3525

热门文章

  1. excel vba两个单元格内容合并
  2. mysql 交换 表分区_Mysql交换分区
  3. 个人发展战略基础理论
  4. win8 不显示计算机,Win8电脑插上U盘不显示盘符怎么办?
  5. 【技巧记录】如何批量制作文件夹/文件夹名
  6. 【idea配置】idea右下角不显示版本分支
  7. com词根词缀_(完整版)词根词缀记忆大全---经典详细的总结
  8. msf与cs互传,msf与cs建立frp穿透
  9. Python-根据文件名提取文件
  10. Linux Command ss