1 - 基本逻辑门代码设计和仿真

设计流程的改变:

反相器:

八位反相器:

与非门:

四位与非门:

位逻辑操作运算符:

多位宽电路符号图画法(打斜杠写数字):

代码结构:

时间作者
module 功能

timescale

module (端口名)

端口属性【输入输出】

输入输出关系

endmodule

module testbench; // testbench 没有端口,不带括号,但要写分号

定义输入输出,输入 reg,输出 wire,把信号接到例化后的 module 里面。

异名例化 module

initial 按时间定义各个变量的值

endmodule

例子:

//2021.11.15 lyw
//反相器设计
//1ns的时间单位,10ps的时间精度
`timescale 1ns/10ps
module inv (
A,
Y
);input                               A                          ;output                              Y                          ;assign Y=~A;
endmodule//测试代码 testbench 不带括号
module inv_tb;
reg                                     aa                         ;
wire                                    yy                         ;
inv inv(//异名例化.A                                 (aa                        ),.Y                                 (yy                        )
);
initial beginaa<=0;//#10表示过十个时间单位#10 aa<=1;#10 aa<=0;#10 aa<=1;//仿真停止用Verilog的系统任务#10 $stop;
end
endmodule

代码关键:assign 组合逻辑赋值语句,用 initial 语句块写 testbench。


PS:

学习内容总结自网络,主讲教师为北京交通大学李金城老师。

1 - 基本逻辑门代码设计和仿真相关推荐

  1. 2 - 组合逻辑代码设计和仿真

    2 - 组合逻辑代码设计和仿真 用问号冒号语句实现二选一: 用 always 语句块实现组合逻辑: 用 if-else 实现二选一: //2021.11.17 lyw //2 choose 1 log ...

  2. 3 - 组合逻辑代码设计和仿真

    3 - 组合逻辑代码设计和仿真 补码转换 代码: //2021.11.18 lyw //Complement conversion `timescale 1ns/10ps module comp_co ...

  3. 【Verilog零基础入门-边看边练】学习笔记——第三讲 组合逻辑代码设计和仿真(补码转换和七段译码逻辑设计)(二)

    二.七段译码逻辑设计 所需软件 Verilog编程软件:Lattice Diamond(3.11.0.396.4_Diamond_x64) Verilog仿真软件:ModelSim SE-64 10. ...

  4. Verilog RTL 代码设计示例

    实验一.做一个4选1的mux,并且进行波形仿真和2选1的mux对比,观察资源消耗的变化 四选一mux代码如下: RTL视图如下: 波形仿真如下: 2选1的mux资源消耗情况: 4选1的mux资源消耗情 ...

  5. Verilog RTL 代码设计新手上路

    1.      做一个4选1的mux,并且进行波形仿真 和2选1的mux对比,观察资源消耗的变化: 实验分析:4选1的mux实际上就是在2选1的mux上进行拓展,选用2位的控制信号控制4位输入信号的选 ...

  6. 基于matlab的捷联惯导算法设计及仿真,基于 Matlab 的捷联惯导算法设计及仿真1doc.doc...

    基于 Matlab 的捷联惯导算法设计及仿真1doc 基于 Matlab 的捷联惯导算法设计及仿真1 严恭敏 西北工业大学航海学院,西安 (710072) E-mail:yangongmin@163. ...

  7. verilog扰码器设计及仿真

    本设计使用verilog语言实现扰码器设计与仿真 本工程在quartusII下实现并在modelsim下进行仿真 设计中包括加扰器和解扰器模块.仿真测试模块等. 下面是工程截图: 下面是modelsi ...

  8. coreldraw的线条怎么变成圆头_智能设计 | 建模仿真(3):力学仿真

    原标题:智能设计 | 建模&仿真(3):力学仿真 智能设计| 建模& 仿真(3) :力学仿真 刘肖健 浙江工业大学 1. 案例描述 画出"磁场的形态",大致如下图所 ...

  9. Qt设计机器人仿真控制器——按键控制机器人关节转动

    1.引言及本文简介 在上两篇博客里,Jungle介绍了Qt键盘事件,并在小程序中应用Qt键盘事件监测按键输入: Qt键盘事件(一)--检测按键输入及解决无法响应方向键问题 Qt键盘事件(二)--长按按 ...

最新文章

  1. Mac 安装SecureCRT
  2. CVPR 2021 | 自适应激活函数ACON: 统一ReLU和Swish的新范式
  3. Android BaseAdapter和ViewHolder 优化 解决ListView的item抢焦点问题和item错乱问题
  4. 在python下实现ssh出现错误:ModuleNotFoundError: No module named ‘cryptography‘
  5. html5input输入框设置无边框_芯片充电两大改变,无看点的iPad8,上手体验发现并不简单!...
  6. redis循环键_Redis的并发控制
  7. 中兴路西藏北路到浦东国际机场路线(ddmap20100114)
  8. java 通过http post方式传参参数json 方式
  9. 状态模式(Strategy Pattern)
  10. card如何添加复选框 vant_Vant Weapp小程序蹲坑之使用card组件显示价格
  11. Atitit.软件gui按钮and面板---通讯子系统(区)-- github 的使用....
  12. vue axios封装
  13. photoshop制作透明背景图片1
  14. DNS域名解析中A、AAAA、CNAME、MX、NS、TXT、SRV、SOA、PTR各项记录的作用
  15. tree traversal (树的遍历) - preorder traversal (前序遍历)
  16. Android记录5--关于Android云测试的小思考
  17. 深度解析大数据在公安领域的应用
  18. 在电脑上体验了 16 款手机 App 后,我很失望
  19. 诺基亚Lumia920
  20. 近视眼手术之后计算机专业,近视眼手术后电脑族护眼7种方式

热门文章

  1. android虚拟手机云之三:文件沙盒
  2. 地方税务局专用业务协同办公系统 新版就要出炉了(v2.0)
  3. GirdView,包含DropDownList,DatePicker的数据增加删除,修改
  4. secureCRT从联通网登录到电信网机器时,速度很慢---解决方法:IP加速器软件
  5. 全球与中国加工牛肉市场深度研究分析报告
  6. 智能网联(车联网)示范区发展现状分析—华东篇(下)
  7. 清除U盘内所占的隐藏空间
  8. 产品学习(二)——竞品分析
  9. 【uniapp前端组件】自定义车牌键盘
  10. 2020年下半年信息系统监理师上午真题及答案解析