建立一个新工程,Boards 中选择vc707的开发板。

点击Flow Navigator中的IP INTEGRATOR,然后选择 Create Block Design

在Diagram中选择Add IP

搜索Microblaze 并双击打开

点击Run Block Automation

Preset 中选择MicroController,Debug Module更改为Debug & UART

点击工具栏的 Regenerate Layout 进行重新布局

手动添加时钟和复位信号,在clk_wiz_1模块左边的CLK_IN_D端单击鼠标右键 选择 “make External”;

reset端口同样处理,连接时钟模块的reset信号;(在rst_clk_wiz_1_100M模块ext_reset_in pin脚处单击鼠标,然后连线,也可以右键选择 “make connection ”然后选择相应信号。

在source 栏中选择system_1 右键选择-> Create HDL Wrapper 把MicroBlaze 系统包起来,弹出对话框后点击OK。

新建约束文件,配置时钟和复位引脚输入信号。

set_property PACKAGE_PIN E19 [get_ports CLK_IN1_D_0_clk_p]
set_property IOSTANDARD LVDS [get_ports CLK_IN1_D_0_clk_p]

set_property PACKAGE_PIN E18 [get_ports CLK_IN1_D_0_clk_n]
set_property IOSTANDARD LVDS [get_ports CLK_IN1_D_0_clk_n]

set_property PACKAGE_PIN AV40 [get_ports reset_0]
set_property IOSTANDARD LVCMOS18 [get_ports reset_0]

选择工具栏中的Validate Design按钮

选择 PROGRAM AND DEBUG中的 Generate Bitstream

点击File -> Export -> Export Hardware ,单击 Generate Output Products,点击OK,选中 Include bitstream,点击OK。

点击File -> Launch SDK ,点击OK

点击File -> New -> Application Project ,输入项目名称,点击Next

选择Hello World 的模板,点击Finish

点击 Xilinx Tools -> Program FPGA,点击 Program。

点击Run ,Run configuration,双击 Xilinx C/C++ application(GDB)

点击Application,Project Name 浏览选择helloworld项目

STDIO Connection,勾选 Connect STDIO to console,Port 选择 JTAG UART,点击RUN。

之后能够看到console 中打印出相应的log。

vc707 MicroBlaze Helloworld 实验相关推荐

  1. linux helloworld实验,实验一:写一个hello world小程序

    实验要求 在linux环境下,编写helloworld小程序,同时使用实验楼git版本库提交代码 注意事项 需要在实验楼开通git服务Code/目录下才会有本课程目录shiyanlou_cs122 实 ...

  2. vc707 MicroBlaze 下载到FLASH

    下载方法与普通FPGA的方法类似,都是通过先把bitstream转换成为mcs文件,再通过BPI接口下载配置文件. 打开SDK工程文件,点击Program FPGA,Software Configur ...

  3. linux实验一helloworld,实验一:写一个hello world小程序

    本实验实现了在Linux环境下helloworld程序的编写.编译.运行. 实验思路: 1. 熟悉Linux基本命令 2. 熟悉vim编辑器的基本操作 3. 编写HelloWorld程序 4. 保存项 ...

  4. scala版本的springboot的helloworld实验记录(转载+自己验证整理)

    实验结构如下: ├── pom.xml ├── src │   └── main │       └── scala │           ├── AppConf.scala │          ...

  5. 【黑金ZYNQ7000系列原创视频教程】03.体验FPGA里的ARMmdash;mdash;裸机helloworld实验...

    黑金论坛地址: http://www.heijin.org/forum.php?mod=viewthread&tid=36637&extra=page%3D1 爱奇艺地址: http: ...

  6. MicroBlaze:Xilinx官方软核学习与一些实验测试

    目录 一.引言 二.HelloWorld实验 三.AXI_GPIO实验 四.一些注意 一.引言 1.MicroBlaze简介. 用于做嵌入式处理操作的软核,来加速系统设计.与传统独立CPU相比,软核嵌 ...

  7. 【常见错误 error】Vivado SDK在启动程序时显示cannot stop MicroBlaze.MicroBlaze is held in reset 问题解决办法

    目录 问题描述 调试过程 总结 问题描述 在第一次使用AX7103这块黑金的板子,打算先做个helloworld的串口打印实验来验证板子的可行性,在创建工程BD如图所示,很简单的一个uart打印实验. ...

  8. zynq 7000 SDK下的流水灯实验

    zynq 7000 的流水灯实验是最简单的纯PL 的实验, helloworld 是SDK下的最简单实验,但不包括任何PL. 本文是这2者综合下的最简单实验, PS 和 PL 都有的情况下最简单实验. ...

  9. Intellij代码界面导入maven工程,且该maven工程不具备intellij配置文件

    我们有这么一种情况, 我们有个其他同事或者网上copy下来的maven工程, 但是他们是直接命令行编译的,但是我现在相对这个maven工程进行修改, 需要借助Intellij,但是苦于 downloa ...

  10. Intellij启动界面导入maven工程,且该maven工程不具备intellij配置文件

    我们有这么一种情况, 我们有个其他同事或者网上copy下来的maven工程, 但是他们是直接命令行编译的,但是我现在相对这个maven工程进行修改, 需要借助Intellij,但是苦于 downloa ...

最新文章

  1. 科技竞赛:阿广带大家免费使用GPU打比赛,普通人也有机会拿奖金!
  2. hibernate 持久化
  3. linux rpm mysql 路径_linux基础-linux 下 安装 rpm 格式 的 mysql
  4. 小猿圈web前端之移动端Vue+Vant实现上传压缩旋转图片功能
  5. 研发项目进度管理软件正式上线
  6. 设计模式-UML图简单介绍
  7. Leetcode 39 组合总和 (每日一题 20210806)
  8. 全球及中国一般手术器械行业投资态势与发展价值评估报告2022版
  9. Ubuntu安装配置Python.pyDev
  10. Android布局属性详解之RelativeLayout
  11. H264 解码耗时分析
  12. DEEPIN搭建J2EE时遇到This program requires DISPLAY en...
  13. tp3.2中怎么访问分类及子分类下面的文章
  14. bzoj3612 平衡 (dp)
  15. java 自定义函数_Java自定义函数调用方法解析
  16. Android开发环境配置
  17. PHP生成缩略图、加水印
  18. 【老生谈算法】matlab实现LSB算法水印算法源码——LSB算法
  19. 模拟人生java版攻略_模拟人生免费版简易攻略小技巧
  20. 物理地址通过什么协议转换为ip地址

热门文章

  1. java大赛参赛学院名单,河南大学第十一届“学佳澳杯”大学生程序设计竞赛获奖名单公布...
  2. 如何在CAD图纸中添加文字
  3. LaTeX:斜线表头的表格制作
  4. 使用R绘制花瓣图_2020-11-10
  5. 【爬虫】使用八爪鱼爬行百度地图美食店数据
  6. java后端社招面试经历
  7. python3实现扫码获取微信openid功能
  8. 汉服php素材,【福利】汉服裁剪制作图——收录大全
  9. 室内定位算法_室内外定位技术的几种常用的技术与算法你都知道吗?
  10. wps居中对齐不在中间_wps有时候居中对齐不是在中间